• 超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)
21年品牌 40万+商家 超1.5亿件商品

超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)

全新正版 极速发货

58.91 5.0折 119 全新

库存2件

广东广州
认证卖家担保交易快速发货售后保障

作者[美国]安德·B.卡恩(Andrew B.Kahng)等

出版社机械工业

ISBN9787111752295

出版时间2023-05

装帧其他

开本其他

定价119元

货号32066549

上书时间2024-07-02

书香美美

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
商品描述
作者简介
AndrewB.Kahng是美国加州大学圣地亚哥分校计算机科学与工程(CSE)系和电子与计算机工程(ECE)系教授,并担任高性能计算领域的名誉主席。他曾在Cadence公司(1995~1997年)担任访问科学家,并且是BlazeDFM公司的创始人、董事长和首席技术官(2004~2006年)。
JensLienig是德国德累斯顿工业大学(TUD)电气工程教授。他也是TUD机电与电子设计研究所的所长。他曾在TannerResearch公司(1996~1999年)和RobertBosch公司(1999~2002年)担任项目经理。
IgorL.Markov是IEEE会士和ACM杰出科学家。除了在美国密歇根大学担任电气工程与计算机科学教授外,他还曾在谷歌公司(2014~2017年)工作,并且自2018年以来一直在Facebook/Meta公司工作。
JinHu是美国密歇根大学计算机科学与工程(CSE)系的博士生。之后,她先后在IBM公司(2013~2017年)、Bloomberg公司(2017~2019年)和TwoSigmaInsuranceQuantified(TSIQ)公司(自2019年以来)工作。

目录
目  录

第2版前言
第1版前言
第1章 绪论1
1.1 电子设计自动化(EDA)1
1.2 VLSI设计流程4
1.3 VLSI设计模式8
1.4 版图层和设计规则12
1.5 物理设计优化14
1.6 算法复杂度15
1.7 图论术语17
1.8 常用EDA术语20
参考文献22
第2章 网表和系统划分23
2.1 引言23
2.2 术语24
2.3 优化目标25
2.4 划分算法25
2.4.1 Kernighan–Lin(KL)算法25
2.4.2 扩展的KL 算法29
2.4.3 Fiduccia- Mattheyses(FM)算法30
2.5 多级划分框架36
2.5.1 结群37
2.5.2 多级划分37
第2章练习38
参考文献39
第3章 芯片规划40
3.1 布图规划介绍41
3.2 布图规划的优化目标42
3.3 术语43
3.4 布图的表示45
3.4.1 从布图到一个约束图对45
3.4.2 从布图到一个序列对47
3.4.3 从序列对到一个布图47
3.5 布图规划算法52
3.5.1 布图尺寸变化52
3.5.2 群生长56
3.5.3 模拟退火60
3.5.4 集成布图规划算法63
3.6 引脚分配63
3.7 电源和地线布线67
3.7.1 电源和地线网分布设计67
3.7.2 平面布线68
3.7.3 网格布线69
第3章练习71
参考文献72
第4章 全局和详细布局74
4.1 引言74
4.2 优化目标75
4.3 全局布局81
4.3.1 最小割布局82
4.3.2 解析布局88
4.3.3 模拟退火95
4.3.4 现代布局算法97
4.4 合法化和详细布局99
第4章练习100
参考文献101
第5章 全局布线104
5.1 引言104
5.2 术语和定义106
5.3 优化目标108
5.4 布线区域的表示110
5.5 全局布线流程112
5.6 单网布线112
5.6.1 矩形布线112
5.6.2 连通图中的全局布线117
5.6.3 用Dijkstra算法找最短路径121
5.6.4 用A*搜索算法找最短路径126
5.7 全网表布线127
5.7.1 整数线性规划布线127
5.7.2 拆线重布(RRR)130
5.8 现代全局布线132
5.8.1 模式布线133
5.8.2 协商拥塞布线133
第5章练习134
参考文献135
第6章 详细布线137
6.1 术语137
6.2 水平和垂直约束图140
6.2.1 水平约束图140
6.2.2 垂直约束图141
6.3 通道布线算法142
6.3.1 左边算法142
6.3.2 Dogleg布线145
6.4 开关盒布线147
6.4.1 术语148
6.4.2 开关盒布线算法148
6.5 OTC与全局单元布线算法150
6.5.1 OTC布线方法151
6.5.2 OTC布线算法151
6.6 详细布线的现代挑战152
第6章练习154
参考文献155
第7章 特殊布线157
7.1 区域布线157
7.1.1 简介157
7.1.2 线网顺序159
7.2 非Manhattan布线161
7.2.1 八向Steiner树161
7.2.2 八向迷宫搜索163
7.3 时钟布线163
7.3.1 术语164
7.3.2 时钟树布线问题的提出166
7.4 现代时钟树综合168
7.4.1 构建全局零偏移时钟树168
7.4.2 含扰动时钟树缓冲插入175
第7章练习178
参考文献179
第8章 时序收敛181
8.1 引言181
8.2 时序分析和性能约束183
8.2.1 静态时序分析184
8.2.2 使用零松弛算法进行时延预算188
8.3 时序驱动布局192
8.3.1 基于线网的技术193
8.3.2 在线性规划的布局中使用STA 195
8.4 时序驱动布线196
8.4.1 有界半径有界代价算法197
8.4.2 Prim-Dijkstra算法的折衷198
8.4.3 源-汇时延的最小化199
8.5 物理综合201
8.5.1 改变门大小201
8.5.2 缓冲插入202
8.5.3 网表重构203
8.6 性能驱动设计流程206
8.7 结论213
第8章练习215
参考文献216
第9章 附录218
9.1 在物理设计中的机器学习218
9.1.1 介绍218
9.1.2 机器学习:在物理设计中的前景与挑战218
9.1.3 标准机器学习应用219
9.1.4 物理设计的机器学习现状220
9.1.5 未来发展223
9.2 章节练习的答案223
9.2.1 第2章:网表和系统划分223
9.2.2 第3章:芯片规划226
9.2.3 第4章:全局和详细布局229
9.2.4 第5章:全局布线232
9.2.5 第6章:详细布线235
9.2.6 第7章:特殊布线239
9.2.7 第8章:时序收敛247
9.3 CMOS单元布局示例251
参考文献253

内容摘要
在整个现代芯片设计的过程中,由于其复杂性,从而使得专业软件的广泛应用成为了必然。为了获得优异结果,使用软件的用户需要对底层数学模型和算法有较高的理解。此外,此类软件的开发人员必须对相关计算机科学方面有深入的了解,包括算法性能瓶颈以及各种算法如何操作和交互。《超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)》介绍并比较了集成电路物理设计阶段使用的基本算法,其中从抽象电路设计为开始并拓展到几何芯片布局。更新后的第2版包含了物理设计的新进展,并涵盖了基础技术。许多带有解决方案的示例和任务使得阐述更加形象生动,并有助于加深理解。
《超大规模集成电路物理设计:从图分割到时序收敛(原书第2版)》是电子设计自动化领域中为数不多的精品,适合集成电路设计、自动化、计算机专业的高年级本科生、研究生和工程界的相关人士阅读。

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP