• FPGA设计与VHDL实现
图书条目标准图
21年品牌 40万+商家 超1.5亿件商品

FPGA设计与VHDL实现

29.8 5.0折 59.9 全新

库存2件

河南郑州
认证卖家担保交易快速发货售后保障

作者王金明 著

出版社电子工业出版社

出版时间2021-01

版次1

装帧平装

货号XB2

上书时间2024-04-30

宜阅书店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
图书标准信息
  • 作者 王金明 著
  • 出版社 电子工业出版社
  • 出版时间 2021-01
  • 版次 1
  • ISBN 9787121387678
  • 定价 59.90元
  • 装帧 平装
  • 开本 16开
  • 页数 316页
【内容简介】
本书根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述FPGA数字系统开发的相关知识,主要内容包括EDA技术概述、FPGA/CPLD器件、VHDL硬件描述语言等。全书以Quartus Prime、ModelSim等软件为平台,以VHDL’87和VHDL’93语言标准为依据,基于DE2-115实验平台,以可综合的设计为重点,通过大量经过验证的数字设计实例,阐述数字系统设计的方法与技术,由浅入深地介绍VHDL工程开发的知识与技能。
【作者简介】
王金明,博士,副教授、硕士研究生导师。曾获军队科技进步一等奖1项,军队科技进步二等奖3项,军队科技进步三等奖5项,获军队级教学成果二等奖1项;获国家发明专利授权2项,获软件著作授权1项;发表论文80余篇,其中SCI、EI收录30余篇;主编教材多部,并入选\"十一五”国家级规划教材和\"十二五”国家级规划教材;2013年获军队院校育才奖银奖;2014年由国家留学基金委资助,在美国威斯康星大学麦迪逊分校访问研究1年;指导本科生参加全国大学生电子设计竞赛,获全国一等奖、二等奖多项。
【目录】
第1章  EDA技术概述001
1.1  EDA技术及其发展002
1.2  Top-down设计与IP核复用004
1.2.1  Top-down设计004
1.2.2  Bottom-up设计005
1.2.3  IP复用技术与SoC005
1.3  数字设计的流程006
1.3.1  设计输入007
1.3.2  综合007
1.3.3  布局布线008
1.3.4  仿真008
1.3.5  编程配置009
1.4  常用的EDA工具软件009
1.5  EDA技术的发展趋势012
习题1013
第2章  FPGA/CPLD器件014
2.1  PLD器件概述015
2.1.1  PLD器件的发展历程015
2.1.2  PLD器件的分类015
2.2  PLD的基本原理与结构017
2.2.1  PLD器件的基本结构017
2.2.2  PLD电路的表示方法018
2.3  低密度PLD的原理与结构019
2.4  CPLD的原理与结构023
2.4.1  宏单元结构023
2.4.2  典型CPLD的结构024
2.5  FPGA的原理与结构025
2.5.1  查找表结构025
2.5.2  Cyclone IV器件结构027
2.6  FPGA/CPLD的编程元件030
2.7  边界扫描测试技术034
2.8  FPGA/CPLD的编程与配置035
2.8.1  在系统可编程035
2.8.2  FPGA器件的配置036
2.8.3  Cyclone IV器件的编程037
2.9  Intel的FPGA/CPLD器件040
2.10  FPGA/CPLD的发展趋势043
习题2043
第3章  Quartus Prime使用指南044
3.1  Quartus Prime原理图设计046
3.1.1  半加器原理图设计输入046
3.1.2  1位全加器设计输入049
3.1.3  1位全加器的编译050
3.1.4  1位全加器的仿真052
3.1.5  1位全加器的下载056
3.1.6  配置数据固化与脱机运行060
3.2  基于IP核的设计062
3.2.1  用LPM_COUNTER设计模24方向可控计数器063
3.2.2  用LPM_ROM模块实现4×4无符号数乘法器069
3.3  SignalTap II的使用方法076
3.4  Quartus Prime的优化设置与时序分析080
习题3084
第4章  VHDL设计初步087
4.1  VHDL简介088
4.2  VHDL组合电路设计089
4.3  VHDL时序电路设计093
习题4098
第5章  VHDL结构与要素099
5.1  实体100
5.1.1  类属参数说明100
5.1.2  端口说明101
5.2  结构体102
5.3  VHDL库和程序包103
5.3.1  库103
5.3.2  程序包106
5.4  配置107
5.5  子程序110
5.5.1  过程(PROCEDURE)110
5.5.2  函数(FUNCTION)112
5.6  VHDL文字规则114
5.6.1  标识符114
5.6.2  数字115
5.6.3  字符串116
5.7  数据对象116
5.7.1  常量116
5.7.2  变量117
5.7.3  信号117
5.7.4  文件118
5.8  VHDL数据类型118
5.8.1  预定义数据类型119
5.8.2  用户自定义数据类型122
5.8.3  数据类型的转换125
5.9  VHDL运算符127
5.9.1  逻辑运算符127
5.9.2  关系运算符127
5.9.3  算术运算符128
5.9.4  并置运算符129
5.9.5  运算符重载129
习题5130
第6章  VHDL基本语句132
6.1  顺序语句133
6.1.1  赋值语句133
6.1.2  IF语句133
6.1.3  CASE语句139
6.1.4  LOOP语句142
6.1.5  NEXT与EXIT语句143
6.1.6  WAIT语句144
6.1.7  子程序调用语句146
6.1.8  断言语句146
6.1.9  REPORT语句147
6.1.10  NULL语句148
6.2  并行语句148
6.2.1  并行信号赋值语句148
6.2.2  进程语句153
6.2.3  块语句156
6.2.4  元件例化语句157
6.2.5  生成语句159
6.2.6  并行过程调用语句161
6.3  属性说明与定义语句162
6.3.1  数据类型属性162
6.3.2  数组属性163
6.3.3  信号属性164
习题6165
第7章  VHDL设计进阶166
7.1  行为描述167
7.2  数据流描述168
7.3  结构描述169
7.3.1  用结构描述设计1位全加器169
7.3.2  用结构描述设计4位加法器171
7.3.3  用结构描述设计8位加法器172
7.4  三态逻辑设计173
7.5  分频器设计175
7.5.1  占空比为50%的奇数分频175
7.5.2  半整数分频178
7.5.3  数控分频器179
7.6  用锁相环IP核实现倍频和相移180
习题7186
第8章  VHDL有限状态机设计187
8.1  有限状态机188
8.1.1  有限状态机的描述188
8.1.2  枚举数据类型190
8.2  有限状态机的描述方式192
8.2.1  三进程表述方式192
8.2.2  双进程表述方式193
8.2.3  单进程表述方式196
8.3  状态编码198
8.3.1  常用的编码方式199
8.3.2  用ATTRIBUTE指定编码方式200
8.3.3  用常量进行编码202
8.4  有限状态机设计要点203
8.4.1  起始状态的选择和复位203
8.4.2  多余状态的处理205
8.5  有限状态机应用实例206
8.5.1  用有限状态机控制流水灯206
8.5.2  用有限状态机控制A/D采样209
习题8211
第9章  VHDL数字设计与优化212
9.1  流水线设计213
9.2  资源共享216
9.3  4×4矩阵键盘219
9.4  字 符 液 晶224
9.5  汉字图形点阵液晶230
9.6  VGA显示器237
9.6.1  VGA显示原理与时序237
9.6.2  VGA彩条信号发生器239
9.6.3  VGA图像显示与控制244
9.7  音乐演奏电路250
9.7.1  音乐演奏实现的方法250
9.7.2  实现与下载252
习题9255
第10章  VHDL的Test Bench仿真257
10.1  VHDL仿真概述258
10.2  VHDL测试平台258
10.2.1  用VHDL描述仿真激励信号259
10.2.2  用TEXTIO进行仿真262
10.3  ModelSim SE仿真实例265
10.3.1  图形界面仿真方式268
10.3.2  命令行仿真方式271
10.3.3  ModelSim SE时序仿真272
习题10275
第11章  VHDL设计实例278
11.1  M序列产生器279
11.2  Gold码283
11.3  数字过零检测和等精度频率测量285
11.3.1  数字过零检测286
11.3.2  等精度频率测量287
11.3.3  数字测量系统289
11.4  QPSK数字调制器292
11.5  小型神经网络301
11.6  数字AGC305
习题11314
附录A  VHDL关键字315
参考文献316
点击展开 点击收起

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP