• 电子系统EDA新技术丛书·Xilinx FPGA权威设计指南:Vivado 2014集成开发环境
21年品牌 40万+商家 超1.5亿件商品

电子系统EDA新技术丛书·Xilinx FPGA权威设计指南:Vivado 2014集成开发环境

15 1.9折 79 九五品

仅1件

江苏南京
认证卖家担保交易快速发货售后保障

作者何宾 著

出版社电子工业出版社

出版时间2015-02

版次1

装帧平装

上书时间2024-05-17

   商品详情   

品相描述:九五品
图书标准信息
  • 作者 何宾 著
  • 出版社 电子工业出版社
  • 出版时间 2015-02
  • 版次 1
  • ISBN 9787121254000
  • 定价 79.00元
  • 装帧 平装
  • 开本 16开
  • 纸张 胶版纸
  • 页数 449页
  • 字数 742千字
  • 正文语种 简体中文
  • 丛书 电子系统EDA新技术丛书
【内容简介】

  《电子系统EDA新技术丛书·XilinxFPGA设计指南:Vivado2014集成开发环境》全面系统地介绍了Xilinx新一代集成开发环境Vivado2014.3的设计方法、设计流程和具体实现。全书共分11章,内容包括:XilinxUltraScale结构、Vivado集成设计环境导论、Vivado工程模式基本设计实现、Vivado非工程模式基本设计实现、创建和封装用户IP核流程、Vivado高级约束原理及实现、Vivado调试工具原理及实现、Vivado嵌入式系统设计实现、Vivado模型设计原理及实现、VivadoHLS原理及实现、Vivado部分可重配置原理及实现。《电子系统EDA新技术丛书·XilinxFPGA设计指南:Vivado2014集成开发环境》参考了Xilinx提供的大量Vivado设计资料,理论与应用并重,将Xilinx设计理论贯穿在具体的设计实现中。

【作者简介】

  何宾,著名的嵌入式系统专家和EDA技术专家,长期从事嵌入式系统和电子设计自动化方面的教学和科研工作,与全球知名的半导体厂商和EDA工具厂商保持紧密合作,致力于推动国内高校电子信息技术的教学改革。目前已经出版嵌入式系统和电子设计自动化方面的著作20余部,内容涵盖电路仿真、电路设计、现场可编程门阵列、单片机、嵌入式系统等。代表作有《Xilinx FPGA数字设计》、《Xilinx All Programmable Zynq-7000 SoC设计指南》、《Altium Designer13.0电路设计、《STC单片机原理及应用》等。

【目录】
第1章Xilinx新一代UltraScale结构
1.1UltraScale结构特点
1.2可配置逻辑块
1.2.1可配置逻辑块的特点
1.2.2多路复用器
1.2.3进位逻辑
1.2.5分布式RAM(只有SLICEM)
1.2.6只读存储器(ROM)
1.2.7移位寄存器(只有SLICEM)
1.3时钟资源和时钟管理单元
1.3.1时钟资源
1.3.2时钟管理模块
1.4块存储器资源
1.5专用的DSP模块
1.6输入/输出块
1.7高速串行收发器
1.8PCI-E模块
1.9Interlaken集成块
1.10Ethernet模块
1.11系统监控器模块
1.12配置模块
1.13互联资源

第2章Vivado集成设计环境导论
2.1Vivado系统级设计流程
2.2Vivado功能和特性
2.3Vivado中电路结构的网表描述
2.4Vivado中工程数据的目录结构
2.5Vivado中Journal文件和Log文件功能
2.5.1Journal文件(Vivado.jou)
2.5.2Log文件(Vivado.log)
2.6Vivado两种设计流程模式
2.6.1工程模式和非工程模式不同点比较
2.6.2工程模式和非工程模式命令的不同
2.7Vivado中XDC文件
2.7.1XDC的特性
2.7.2XDC与UCF比较
2.7.3约束文件的使用方法
2.7.4约束顺序
2.7.5XDC约束命令
2.8Vivado集成设计环境的启动方法
2.9Vivado集成设计环境主界面
2.10Vivado设计主界面及功能
2.10.1流程处理主界面及功能
2.10.2工程管理器主界面及功能
2.10.3工作区窗口
2.10.4设计运行窗口

第3章Vivado工程模式基本设计实现
3.1创建新的设计工程
3.2创建并添加一个新的设计文件
3.3RTL详细描述和分析
3.4设计综合和分析
3.4.1综合过程的关键问题
3.4.2设计综合选项
3.4.3Vivado支持的属性
3.4.4执行设计综合
3.4.5综合报告的查看
3.5设计行为级仿真
3.6创建实现约束
3.6.1实现约束的原理
3.6.2I/O规划器功能
3.6.3实现约束过程
3.7设计实现和分析
3.7.1设计实现原理
3.7.2设计实现选项
3.7.3设计实现及分析
3.7.4静态时序分析
3.8设计时序仿真
3.9生成编程文件
3.9.1执行生成可编程文件
3.9.2生成编程文件选项
3.10下载比特流文件到FPGA

第4章Vivado非工程模式基本设计实现
4.1非工程模式基本命令和功能
4.1.1非工程模式基本命令列表
4.1.2典型Tcl脚本的使用
4.2Vivado集成开发环境分析设计
4.2.1启动Vivado集成开发环境
4.2.2打开设计检查点的方法
4.3修改设计路径
4.4设置设计输出路径
4.5读取设计文件
4.6运行设计综合
4.7运行设计布局
4.8运行设计布线
4.9生成比特流文件
4.10下载比特流文件

第5章创建和封装用户IP核流程
5.1Vivado定制IP流程
5.2创建新的用于创建IP的工程
5.3设置定制IP的库名和目录
5.4封装定制IP的实现
5.5创建新的用于调用IP的工程
5.6设置包含调用IP的路径
5.7创建基于IP的系统
5.8系统行为级仿真
5.9系统设计综合
5.10系统实现和验证

第6章Vivado高级约束原理及实现
6.1时序检查概念
6.1.1基本术语
6.1.2时序路径
6.1.3建立和保持松弛
6.1.4建立和保持检查
6.1.5恢复和去除检查
6.2时序约束概念
6.2.1时钟定义
6.2.2时钟组
6.2.3I/O延迟约束
6.2.4时序例外
6.3生成时序报告
6.4添加时序约束
6.4.1时序约束策略
6.4.2时序约束策略
6.5物理约束原理
6.5.1网表约束
6.5.2布局约束
6.5.3布线约束
6.6布局约束实现
6.6.1修改综合属性
6.6.2布局约束方法
6.7布线约束实现
6.7.1手工布线
6.7.2进入分配布线模式
6.7.3分配布线节点
6.7.4取消分配布线节点
6.7.5完成并退出分配布线模式
6.7.6锁定LUT负载上的单元输入
6.7.7分支布线
6.7.8直接约束布线
6.8修改逻辑实现
6.9配置约束原理
6.10增量编译
6.10.1增量编译流程
6.10.2运行增量布局和布线
6.10.3使用增量编译
6.10.4增量编译高级分析

第7章Vivado调试工具原理及实现
7.1设计调试原理和方法
7.2创建新的FIFO调试工程
7.3添加FIFOIP到设计中
7.4添加顶层设计文件
7.5使用HDL例化添加FIFO到设计中
7.6添加约束文件
7.7网表插入调试探测流程方法及实现
7.7.1网表插入调试探测流程的方法
7.7.2网表插入调试探测流程的实现
7.8使用添加HDL属性调试探测流程
7.9使用HDL例化调试核调试探测流程

第8章Vivado嵌入式系统设计实现
8.1简单硬件系统设计
8.1.1创建新的工程
8.1.2使用IP集成器创建处理器系统
8.1.3生成顶层HDL和导出设计到SDK
8.1.4创建存储器测试程序
8.1.5验证设计
8.2在PL内添加外设
8.2.1打开工程
8.2.2添加两个GPIO实例
8.2.3连接外部GPIO外设
8.2.4设计综合
8.2.5生成比特流和导出硬件到SDK
8.2.6生成测试程序
8.2.7验证设计
8.3创建和添加定制IP
8.3.1创建定制IP模板
8.3.2修改定制IP设计模板
8.3.3使用IP封装器封装外设
8.3.4打开工程和修改设置
8.3.5添加定制IP到设计
8.3.6添加BRAM
8.3.7添加约束xdc
8.4编写软件程序
8.4.1打开工程
8.4.2创建应用工程
8.4.3为LED_IP分配驱动
8.4.4分析汇编目标文件
8.4.5验证设计
8.5软件控制定时器和调试
8.5.1打开工程
8.5.2创建SDK软件工程
8.5.3在硬件上验证操作
8.5.4启动调试器
8.6使用硬件分析仪调试
8.6.1ILA核原理
8.6.2VIO核原理
8.6.3打开工程
8.6.4添加定制IP
8.6.5添加ILA和VIO核
8.6.6标记和分配调试网络
8.6.7生成测试程序
8.6.8验证和调试

第9章Vivado模型设计原理及实现
9.1FPGA信号处理方法
9.2FPGA模型设计模块
9.2.1XilinxBlockset
9.2.2XilinxReferenceBlockset
9.3SystemGenerator运行环境的配置
9.4信号模型的构建和实现
9.4.1信号模型的构建
9.4.2模型参数的设置
9.4.3信号处理模型的仿真
9.4.4生成模型子系统
9.4.5模型HDL代码的生成
9.4.6打开生成设计文件并仿真
9.4.7协同仿真的配置及实现
9.4.8生成IP核
9.5编译MATLAB到FPGA
9.5.1模型的设计原理
9.5.2系统模型的建立
9.5.3系统模型的仿真
9.6FIR滤波器的设计与实现
9.6.1FIR滤波器设计原理
9.6.2生成FIR滤波器系数
9.6.3构建FIR滤波器模型
9.6.4仿真FIR滤波器模型
9.6.5修改FIR滤波器模型
9.6.6仿真修改后FIR滤波器模型

第10章VivadoHLS原理及实现
10.1高级综合工具概述
10.1.1高级综合工具的功能和特点
10.1.2不同的命令对HLS综合结果的影响
10.1.3从C中提取硬件结构
10.2高级综合工具调度和绑定
10.2.1高级综合工具调度
10.2.2高级综合工具绑定
10.3VivadoHLS工具的优势
10.4C代码的关键属性
10.4.1函数
10.4.2类型
10.4.3循环
10.4.4数组
10.4.5端口
10.4.6操作符
10.5时钟测量术语说明
10.6HLS关键优化策略
10.6.1延迟和吞吐量
10.6.2循环的处理
10.6.3数组的处理
10.6.4函数内联
10.6.5命令和编译指示
10.7VivadoHLS数字系统实现
10.7.1基于HLS实现组合逻辑
10.7.2基于HLS实现时序逻辑
10.7.3基于HLS实现矩阵相乘

第11章Vivado部分可重配置原理及实现
11.1可重配置导论
11.1.1可重配置的概念
11.1.2可重配置的应用
11.1.3可重配置的特点
11.1.4可重配置术语解释
11.1.5可重配置的要求
11.1.6可重配置的标准
11.1.7可重配置的流程
11.2可重配置的实现
11.2.1查看脚本
11.2.2综合设计
11.2.3实现第一个配置
11.2.4实现第二个配置
11.2.5验证配置
11.2.6生成比特流
11.2.7部分重配置FPGA
点击展开 点击收起

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP