• 现代计算机组成原理
图书条目标准图
21年品牌 40万+商家 超1.5亿件商品

现代计算机组成原理

21.42 6.5折 33 九品

仅1件

北京东城
认证卖家担保交易快速发货售后保障

作者潘松、潘明 编著

出版社科学出版社

出版时间2007

装帧平装

货号A1

上书时间2024-10-23

图书-天下的书店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:九品
图书标准信息
  • 作者 潘松、潘明 编著
  • 出版社 科学出版社
  • 出版时间 2007
  • ISBN 9787030184498
  • 定价 33.00元
  • 装帧 平装
  • 开本 26cm
  • 页数 411页
  • 正文语种 简体中文
【内容简介】
本书提供了基于VHDL和EDA技术的关于CPU和计算机系统的设计理论和设计技术方面的内容。具体内容包括:VHDL与Quartusll应用、CPU功能模块设计、8位、16位CISC CUP设计等。
【目录】
 *
第1章 概述
1.1 现代计算机组成原理课的任务
1.2 EDA技术
1.3 FPGA器件
1.4 硬件描述语言VHDL
1.5 EDA设计流程
1.6 QuartusⅡ简介
1.7 CISC和RISC处理器
1.8 FPGA在现代计算机领域中的应用
第2章 VHDL与QuartusⅡ应用
2.1 VHDL基本语句语法
2.2 时序电路描述
2.3 全加器描述及相关语法
2.4 计数器设计及相关语法
2.5 一般计数器设计
2.6 QuartusⅡ 6.0使用向导
2.7 嵌入式逻辑分析仪使用方法
2.8 原理图输入设计方法
习题
实验与设计
第3章 VHDL深入
3.1 数据对象
3.2 IF语句概述
3.3 进程语句归纳
3.4 并行语句例解
3.5 仿真延时
3.6 有限状态机
3.7 双向和三态电路信号赋值
习题
实验与设计
第4章 CPU功能模块设计
4.1 8位CPU功能与结构
4.2 CPU中的基本部件
4.3 数据通路设计
4.4 在系统存储器数据读写编辑器应用
4.5 嵌入式锁相环调用
习题
实验与设计
第5章 8位CISC计算机设计
5.1 8位CPU结构
5.2 指令系统的结构及功能的确定
5.3 8位CPU的硬件系统设计
5.4 具有移位功能的CPU设计
5.5 含更多指令的CPU模型机设计
5.6 8051单片机IP软核应用系统构建
习题
实验与设计
第6章 16位CISC CPU设计
6.1 顶层系统设计
6.2 CPU基本部件设计

6.3 CPU的时序仿真与实现
6.4 应用程序设计实例
习题
实验与设计
第7章 流水线结构RISC CPU设计
7.1 流水线的一般概念
7.2 流水线中的主要问题及处理
7.3 流水线的性能评价
习题
实验与设计
第8章 16位流水线CPU设计
8.1 流水线CPU的结构
8.2 指令系统设计
8.3 数据通路设计
8.4 流水线各段设计和功能描述
习题
实验与设计
第9章 32位IP软核嵌入式系统
9.1 Nios/NiosⅡ软核处理器
9.2 Nios软核处理器及其设计流程
9.3 32位NiosⅡ系统设计
9.4 自定制Avalon总线外设
9.5 DMA
9.6 自定制硬件指令
第10章 NiosⅡ嵌入式系统软硬件设计
10.1 NiosⅡ基本硬件系统构建
10.2 NiosⅡ软件设计与运行流程
10.3 加入用户自定义组件设计
10.4 加入用户自定义指令设计
10.5 Flash编程下载
习题
实验与设计
附录 GW48-CP+实验系统相关资料
一、GW48-CP+系统实验信号名与芯片引脚对照表
二、实验电路结构图
参考文献
点击展开 点击收起

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP