• SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
  • SystemC电子系统级设计
21年品牌 40万+商家 超1.5亿件商品

SystemC电子系统级设计

图书馆馆藏书,有签章自然发旧

98 八五品

仅1件

山东菏泽
认证卖家担保交易快速发货售后保障

作者李挥、陈曦 编

出版社科学出版社

出版时间2010-01

版次1

装帧平装

货号33

上书时间2024-05-14

羊肉白菜旧书店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:八五品
图书标准信息
  • 作者 李挥、陈曦 编
  • 出版社 科学出版社
  • 出版时间 2010-01
  • 版次 1
  • ISBN 9787030262370
  • 定价 39.00元
  • 装帧 平装
  • 开本 16开
  • 纸张 胶版纸
  • 页数 308页
  • 字数 388千字
  • 正文语种 简体中文
【内容简介】
  《SystemC电子系统级设计》重点讲述了IEEEP1666-2005SystemC语法(模块、端口、信号、进程、基本数据类型、定点数据类型、波形跟踪、接口、端口、导出端口和通道、动态进程)、事务处理级建模库TLM2.0(TLM2.o核心接口、发起者和目标套接字、通用净核和基础协议、实用工具、分析接口和端口)、验证库1.0(SystemC的验证库,包括验证思想、基于事务的验证方法、随机化、约束的随机数的产生、加权随机数产生)和SystemC的电子系统级综合技术(算法综合、SystemC行为综合和SystemC体系结构综合),并给出了SystemC的应用实例。
  《SystemC电子系统级设计》可作为电子工程技术人员学习SystemC设计、应用、开发的技术参考书,也可供高等院校电子及其相关专业的广大师生阅读。
【目录】
第1章前言
1.1为什么要发展新的设计和验证语言
1.2SystemC的历史
1.3SystemC的本质
1.4SystemC的核心价值
1.5虚拟原型
1.6ESL设计流程
1.7事务处理级建模——ESL的关键
1.8一个“Hello,SystemC!”建模实例
1.9一个二输入与非门建模实例
1.10本章小结
1.11习题

第2章systemC基本语法
2.1从一个典型的SystemC设计开始
2.2SystemC头文件
2.3模块
2.4端口和信号
2.5SystemC时钟和时间模型
2.6基本数据类型
2.7定点数据类型
2.8进程
2.9仿真与波形跟踪
2.10SystemC信息和差错报告机制
2.11SystemC中的一些杂散内容
2.12本章小结
2.13习题

第3章systemc行为建模语法
3.1什么是TLM
3.2TLM相关语法
3.3接口
3.4端口
3.5通道基础
3.6基本通道
3.7分层通道
3.8动态创建进程
3.9系统建模中的分层模型
3.10SystemC的事务处理级建模初步
3.11通信细化
3.12本章小结
3.13习题

第4章systemC事务处理级建模库
4.1TLM2.0基本概念
4.2通用净核类
4.3阻塞传送接口
4.4非阻塞传送接口
4.5直接存储器接口
4.6调试传送接口
4.7合并的传送接口
4.8发起者和目标套接字
4.9预定义的套接字
4.10全局量子时间和量子看守者
4.11一个松散定时目标模块建模实例
4.12本章小结
4.13习题

第5章systemc验证库
5.1SystemC验证库概述
5.2常用术语
5.3基于事务的验证
5.4数据内查
5.5约束的随机化
5.6变量和事务记录
5.7SCV标准的其他内容
5.8本章小结
5.9习题

第6章systemc综合
6.1基于C的高层次综合
6.2SystemC行为综合
6.3SystemC体系结构综合介绍
6.4SystemC优化的进程阵列
6.5基于SOTA的SystemC体系结构综合
6.6SystemC体系结构综合案例
6.7本章小结
6.8习题

第7章systomc定点数据类型
7.1定点数据的量化模式介绍
7.2量化模式SC_RND
7.3量化模式SC_RND_ZERO
7.4量化模式SC_RND_MIN_INF
7.5量化模式SC_RND_INF
7.6量化模式SC_RND_CONV
7.7量化模式SC_TRN
7.8量化模式SC_TRN_ZERO
7.9定点数据的溢出模式
7.10溢出模式SC_SAT
7.11溢出模式SC_SAT_ZERO
7.12溢出模式SC_SAT_SYM
7.13溢出模式SCWRAP
7.14溢出模式SCWRAPSM
7.15定点数据类型支持的运算符
7.16定点数据类型的状态信息
7.17将定点数据类型转换为字符串
7.18一个定点FIR滤波器设计实例
7.19本章小结
7.20习题

第8章systemC应用实例
8.1回到“Hello,SystemC”
8.2串口原理
8.3串口寄存器定义
8.4Wishbone总线简介
8.5处理器的电子系统级总线功能模型
8.6串口的设计
8.7SystemC和Verilog混合仿真
8.8本章小结
8.9习题
点击展开 点击收起

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP