• verilog编程艺术 编程语言 魏家明 新华正版
21年品牌 40万+商家 超1.5亿件商品

verilog编程艺术 编程语言 魏家明 新华正版

编程语言 新华书店全新正版书籍 支持7天无理由

46.7 5.9折 79 全新

库存14件

江苏无锡
认证卖家担保交易快速发货售后保障

作者魏家明

出版社电子工业出版社

ISBN9787121220616

出版时间2014-01

版次1

装帧平装

开本16开

页数433页

字数730千字

定价79元

货号xhwx_1200834193

上书时间2023-10-30

翰林文轩旗舰店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
正版特价新书
商品描述
目录:

部分 设 计 原 则
章 美的设计 2
1.1 美学观点 2
1.2 美是修养 3
1.3 专业术语 4
第2章 高效之道 5
2.1 敏捷开发 5
2.2 代码质量 6
2.3 版本控制 7
2.4 提早集成 7
第3章 组织管理 9
3.1 植物分类 9
3.2 soc特 11
3.3 设计流程 12
3.4 仔细规划 12
3.5 管理表格 13
3.6 模块层次 14
3.7 目录组织 14
第4章 使用工具 19
4.1 使用emacs 19
4.1.1 emacs介绍 19
4.1.2 emacs安装 19
4.1.3 常用快捷键 20
4.1.4 我的.emacs 21
4.1.5 cua-base.el 22
4.1.6 verilog-mode.el 23
4.1.7 shell buffer 23
4.2 使用shell 24
4.2.1 shell介绍 24
4.2.2 shell例子 24
4.2.3 perl例子 25
4.3 使用cvs 26
4.3.1 cvs介绍 26
4.3.2 cvs术语 27
4.3.3 cvs初始化 27
4.3.4 cvs常用命令 29
第5章 编码风格 31
5.1 干干净净 32
5.2 代码划分 32
5.3 代码要求 33
5.3.1 verilog部分 33
5.3.2 systemverilog部分 40
5.4 名字定义 40
5.5 书写格式 42
5.5.1 模块端声名 42
5.5.2 模块实例化 45
5.5.3 函数和任务调用 47
5.5.4 书写语句 47
5.5.5 书写表达式 48
5.6 添加注释 49
5.7 参数化 50
5.8 lint检查 52
第二部分 语 言 特 
第6章 verilog特 54
6.1 verilog标准 54
6.2 抽象级别 54
6.3 可综合子集 55
6.4 保持一致 57
第7章 常数 58
7.1 整数(integer) 58
7.2 实数(real) 60
7.3 字符串(string) 60
7.4 标识符(identifier) 60
第8章 数据类型 61
8.1 线网() 61
8.1.1 wire和tri 61
8.1.2 wor、wand、trior、triand 61
8.1.3 tri0、tri1 61
8.1.4 uwire 61
8.1.5 supply0、supply1 62
8.1.6 驱动强度 62
8.1.7 默认 62
8.2 变量(variable) 62
8.3 线网和变量的区别 63
8.4 向量(vector) 64
8.5 数组(array) 65
8.6 多维数组 65
第9章 表达式 67
9.1 作符(operator) 67
9.1.1 作符的优先级(operator priority) 68
9.1.2 表达式中使用整数 68
9.1.3 算数作符(arithmetic operators) 69
9.1.4 算术表达式中的regs和integers 69
9.1.5 比较作符(pare operators) 70
9.1.6 逻辑作符(logical operators) 70
9.1.7 位运算作符(bitwise operators) 71
9.1.8 归约作符(reduction operators) 71
9.1.9 移位作符(shift operators) 71
9.1.10 条件作符(conditional operator) 72
9.1.11 连接作符(concatenations) 72
9.2 作数(operands) 73
9.2.1 向量的抽取(bit-select and part-select) 73
9.2.2 part-select的例子 75
9.2.3 数组的访问 75
9.2.4 字符串 76
9.3 表达式位长(expression bit lengths) 77
9.3.1 表达式位长规则 77
9.3.2 表达式位长问题的例子a 78
9.3.3 表达式位长问题的例子b 79
9.3.4 表达式位长问题的例子c 79
9.3.5 表达式位长问题的例子d 79
9.3.6 表达式位长问题的例子e 80
9.4 符号表达式(signed expressions) 80
9.4.1 表达式类型规则 81
9.4.2 计算表达式的步骤 81
9.4.3 执行赋值的步骤 82
9.4.4 signed表达式中处理x和z 82
9.4.5 signed应用的例子 82
9.4.6 signed应用的错误 83
9.5 赋值和截断(assignments and truncation) 84
9.6 与x/z比较 85
0章 赋值作 86
10.1 连续赋值 86
10.2 过程赋值 87
1章 门级和开关级模型 88
11.1 门和开关的声明语 88
11.1.1 门和开关类型 88
11.1.2 驱动强度 88
11.1.3 延迟 89
11.1.4 实例数组 89
11.2 and、nand、nor、or、xor、xnor 90
11.3 buf、not 90
11.4 bufif1、bufif0、notif1、notif0 90
11.5 mos switches 90
11.6 bidirectional pass switches 91
11.7 pullup、pulldown 91
2章 用户定义原语 92
12.1 udp定义 92
12.1.1 udp状态表 92
12.1.2 状态表符号 93
12.2 组合udp 93
12.3 电敏感时序udp 93
12.4 沿敏感时序udp 94
3章 行为模型 97
13.1 概览 97
13.2 过程赋值 98
13.2.1 阻塞赋值 98
13.2.2 非阻塞赋值 99
13.3 过程连续赋值 102
13.3.1 assign和deassign过程语句 103
13.3.2 force和release过程语句 103
13.4 条件语句 104
13.5 循环语句 105
13.5.1 for循环例子 106
13.5.2 disable语句 107
13.6 过程时序控制 108
13.6.1 延迟控制(delay control) 108
13.6.2 事件控制(event control) 108
13.6.3 命名事件(named events) 109
13.6.4 事件or作符(event or operator) 109
13.6.5 隐含事件列表(implicit event_expression list) 109
13.6.6 电敏感事件控制(level-sensitive event control) 111
13.6.7 赋值间时序控制(intra-assignment timing controls) 111
13.7 块语句 113
13.7.1 顺序块(sequential block) 113
13.7.2 并行块(parallel block) 114
13.7.3 块名字(block names) 114
13.7.4 开始和结束时间(start and finish times) 114
13.8 结构化过程 116
13.8.1 initial construct 116
13.8.2 always construct 116
13.8.3 always的敏感列表 117
13.8.4 并发进程 117
13.9 always有关的问题 118
13.9.1 敏感列表不完整 118
13.9.2 赋值顺序错误 119
4章 case语句 120
14.1 case语句定义 121
14.2 case语句的执行 122
14.3 verilog和vhdl对比 123
14.4 case的应用 123
14.5 casez的应用 125
14.6 描述状态机 126
14.7 casex的误用 127
14.8 casez的误用 128
14.9 full_case 和parallel_case 128
14.10 full_case 129
14.10.1 不是full的case语句 129
14.10.2 是full的case语句 129
14.10.3 使用full_case综合指令 130
14.10.4 full_case综合指令的缺点 131
14.10.5 使用full_case指令后还是生成latch 132
14.11 parallel_case 132
14.11.1 不是parallel的case语句 132
14.11.2 是parallel的case语句 133
14.11.3 使用parallel_case综合指令 133
14.11.4 parallel_case综合指令的缺点 134
14.11.5 没有必要的parallel_case指令 135
14.12 综合时的警告 135
14.13 case语句的编码原则 136
5章 task和function 137
15.1 task和function之间的不同点 137
15.2 task的声明和使能 137
15.2.1 task的声明 137
15.2.2 task的使能和参数传递 138
15.2.3 task的内存使用和并发进程 140
15.3 disable语句 141
15.3.1 disable语句的例子a 141
15.3.2 disable语句的例子b 143
15.4 function的声明和调用 145
15.4.1 function的声明 145
15.4.2 function的返回值 147
15.4.3 function的调用 147
15.4.4 function的规则 147
15.4.5 constant function 148
15.5 task的误用 149
15.6 function的误用 149
6章 调度和赋值 151
16.1 过程 151
16.2 事件 151
16.3 参模型 152
16.4 分层事件队列 153
16.4.1 事件队列分类 154
16.4.2 事件队列特 155
16.4.3 事件调度例子 155
16.5 确定和不确定 157
16.5.1 确定(determinism) 157
16.5.2 不确定(nondeterminism) 157
16.6 赋值的调度含义 158
16.6.1 连续赋值 159
16.6.2 过程连续赋值 159
16.6.3 阻塞赋值 159
16.6.4 非阻塞赋值 159
16.6.5 开关处理 159
16.6.6 端连接 159
16.6.7 任务和函数 160
16.7 阻塞赋值和非阻塞赋值 160
16.7.1 阻塞赋值 160
16.7.2 非阻塞赋值 161
16.8 赋值使用原则 161
16.9 自己触发自己 162
16.10 零延迟rtl模型 163
16.11 惯延迟和传输延迟 165
16.11.1 门级中的传输延迟 166
16.11.2 各种#delay的位置 168
16.11.3 时钟生成方 169
16.12 延迟线模型 170
16.13 使用#1延迟 171
16.14 多个公共时钟和竞争条件 172
16.15 避混杂阻塞赋值和非阻塞赋值 173
16.16 rtl和门级混合 176
16.16.1 rtl-to-gates 177
16.16.2 gates-to-rtl 177
16.16.3 有时钟偏差的门级时钟树 178
16.16.4 有时钟偏差的vendor模型 178
16.16.5 错误的vendor模型 179
16.16.6 结论和建议 183
16.17 带有sdf延迟的门级 183
16.17.1 全系统 183
16.17.2 软件要花钱 184
16.17.3 门级回归 184
16.18 验证台 185
16.18.1 在0时刻复位 186
16.18.2 时钟沿之后复位 186
16.18.3 创建时钟 186
16.18.4 在无效沿输入激励 187
7章 层次结构 188
17.1 模块 188
17.1.1 模块定义 188
17.1.2 模块实例 188
17.2 参数 188
17.2.1 参数声明 189
17.2.2 参数调整 189
17.2.3 参数传递 190
17.2.4 参数依赖 192
17.2.5 参数 193
17.2.6 clog2 193
17.2.7 指数** 194
17.3 端 194
17.3.1 端声明 194
17.3.2 端连接 195
17.3.3 实数传递 196
17.4 generate语句 196
17.4.1 loop generate construct 197
17.4.2 conditional generate construct 200
17.5 实例数组 201
17.6 层次名字 203
8章 系统任务和函数 205
18.1 显示任务 205
18.1.1 显示和写出任务 205
18.1.2 探测任务 208
18.1.3 监控任务 209
18.2 文件读写 209
18.2.1 打开和关闭文件 209
18.2.2 文件输出 211
18.2.3 字符串输出 212
18.2.4 文件输入 213
18.2.5 文件定位 216
18.2.6 刷新输出 216
18.2.7 错误状态 216
18.2.8 检查文件尾部 217
18.2.9 加载文件数据 217
18.3 时间比例 218
18.3.1 $printtimescale 218
18.3.2 $timeformat 218
18.4 控制 218
18.4.1 $finish 218
18.4.2 $stop 218
18.5 时间 218
18.6 转换函数 219
18.7 概率分布 220
18.7.1 $random 220
18.7.2 $dist_functions 220
18.8 命令行输入 220
18.8.1 $test$plusargs 221
18.8.2 $value$plusargs 221
18.9 数学运算 223
18.9.1 整数函数 223
18.9.2 实数函数 223
18.10 波形记录 224
9章 编译指令 225
19.1 `celldefine和`endcelldefine 225
19.2 `default_type 225
19.3 `define和`undef 226
19.4 `ifdef、`else、`elsif、`endif、`ifndef 227
19.5 `include 228
19.6 `resetall 228
19.7 `line 228
19.8 `timescale 229
19.9 `unconnected_drive和`nounconnected_drive 230
19.10 `begin_keywords和`end_keywords 230
19.11 `pragma 230
第20章 specify块 231
20.1 specify块声明 231
20.2 speparam 231
20.3 模块路径声明 232
20.3.1 模块路径要求 232
20.3.2 简单路径 232
20.3.3 沿敏感路径 233
20.3.4 状态依赖路径 234
20.4 模块路径延迟 235
第21章 时序检查 237
21.1 概览 237
21.2 使用稳定窗的时序检查 237
21.2.1 $setup、$hold、$setuphold 238
21.2.2 $recovery、$removal、$recrem 238
21.3 时钟和控制信号的时序检查 240
21.3.1 $skew、$timeskew、$fullskew 240
21.3.2 $width 240
21.3.3 $period 241
21.3.4 $nochange 241
21.4 使用notifier响应时序违反 241
21.5 使用条件事件 242
21.6 时序检查中的vector 243
21.7  timing check 243
第22章 反标sdf 246
22.1 sdf标注器 246
22.2 sdf construct到verilog的映 246
22.2.1 sdf路径延迟到verilog的映 246
22.2.2 sdf时序检查到verilog的映 247
22.2.3 sdf互连延迟的标注 248
22.3 $sdf_annotate 249
22.4 sdf文件例子 250
第23章 编程语言接 252
23.1 directc 252
23.2 systemverilog 252
第24章 综合指令 253
24.1 synoys综合指令 253
24.2 使用综合指令 253
24.3 使用translate_off/on 254
24.4 误用translate_off/on 256
24.5 使用attribute 256
第三部分 书 写 文 档
第25章 书写文档 260
25.1 文档格式 260
25.2 定义文档 261
25.3 应用文档 262
25.4 设计文档 262
25.5 备份文档 263
25.6 gpio设计 263
第26章 gpio应用文档 264
26.1 overview 264
26.2 register description 264
26.2.1 pin level register (pin) 265
26.2.2 data register (dat) 265
26.2.3 data set register (dats) 265
26.2.4 data clear register (datc) 265
26.2.5 mask register (im) 266
26.2.6 mask set register (ims) 266
26.2.7 mask clear register (imc) 266
26.2.8 pull enable register (pen) 266
26.2.9 pen enable set registe

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

正版特价新书
此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP