• VERILOG HDL数字系统设计入门与应用实例/王忠礼 9787302511304
  • VERILOG HDL数字系统设计入门与应用实例/王忠礼 9787302511304
21年品牌 40万+商家 超1.5亿件商品

VERILOG HDL数字系统设计入门与应用实例/王忠礼 9787302511304

正版图书,可开发票,请放心购买。

48.4 8.1折 59.5 全新

库存6件

广东广州
认证卖家担保交易快速发货售后保障

作者王忠礼 主编 王秀琴 夏洪洋 副主编

出版社清华大学出版社

ISBN9787302511304

出版时间2019-03

装帧平装

开本16开

定价59.5元

货号27851583

上书时间2024-10-19

哲仁书店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
商品描述
前言
前言

随着电子技术、计算机应用技术的不断发展,现代数字系统的设计思想、设计方法以及实现方式都进入了崭新的阶段。这一变化促使电子设计自动化(EDA)技术快速发展,很多公司推出各类高性能的EDA工具,同时也促使高性能FPGA/CPLD器件出现。FPGA/CPLD器件具有功能强大、开发周期短、投资小、便于修改等优点,已经成为硬件设计的器件。Verilog HDL是IEEE标准的硬件描述语言,无论是电子设计工程师还是高等院校的学生都应该熟练掌握它,以提高工作效率。本书的主要内容就是把FPGA/CPLD器件、高性能的EDA工具和Verilog HDL三者结合起来,以实现现代数字系统的设计。
本书共分12章。第1章对EDA技术以及数字系统的设计方法和流程进行介绍。第2章首先对可编程逻辑器件进行综述,然后介绍FPGA/CPLD器件的结构、工作原理和主流产品。第3章介绍QuartusⅡ的基本操作、设计输入、设计处理、时序分析和层次设计。第4章是ModelSim使用指南。第5章介绍Verilog HDL的基本语法、模块结构和基本语句等内容。第6章介绍数字电路的仿真与测试等内容。第7章介绍Verilog HDL的描述风格、进程和层次设计。第8章和第9章分别介绍了组合逻辑电路和时序逻辑电路的程序设计。第10章介绍有限状态机的设计。第11章介绍数字系统设计实例,包括数字跑表、交通灯控制器、自动售货机、采样控制模块、可控脉冲发生器的设计。第12章介绍基于FPGA数字系统设计实例。
本书从实用的角度出发,紧密联系教学实际,语法介绍简明清晰,实例内容丰富,重点突出。各章均附有思考与练习,建议读者在学完一章内容以后认真完成本章的练习,以加深和巩固所学的知识。相信本书会为读者的学习和工作带来一定的帮助。
本书可以作为高等院校电子信息工程、通信工程、电子信息科学与技术、自动化、电气工程等电子与电气类相关专业本科教材和研究生参考书,同时也可供电路设计和系统开发工程技术人员学习参考。
本书第1、2章由陈晓洁编写,第3、4章和附录C由赵金宽编写,第5、6、7章由王秀琴编写,第8、9、10章和附录A、B、D由王忠礼编写,第11、12章由夏洪洋编写。
在本书的编写过程中,北华大学的马惜平老师、黑龙江科技大学的江晓林、刘付刚老师对书稿提出了宝贵的建议和意见,编者在此表示由衷的感谢!
由于编者水平有限,书中难免存在疏漏,敬请广大读者批评指正。
编者2018年12月

导语摘要
本书系统地介绍了硬件描述语言Verilog HDL以及数字系统设计的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog HDL基础知识以及设计实例、基于FPGA/CPLD数字系统设计实例。书中各章都配备了思考与练习题。本书以应用为主,突出实践性,结构严谨,书中的实例新颖、典型。本书适合作为电子信息工程、通信工程、电子信息科学与技术、自动化、电气工程等电子与电气类相关专业本科教材和研究生参考书,同时也可供电路设计和系统开发工程技术人员学习参考。

商品简介

本书系统地介绍了硬件描述语言Verilog HDL以及数字系统设计的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog HDL基础知识以及设计实例、基于FPGA/CPLD数字系统设计实例。书中各章都配备了思考与练习题。 本书以应用为主,突出实践性,结构严谨,书中的实例新颖、典型。本书适合作为电子信息工程、通信工程、电子信息科学与技术、自动化、电气工程等电子与电气类相关专业本科教材和研究生参考书,同时也可供电路设计和系统开发工程技术人员学习参考。

作者简介
王忠礼,北华大学副教授,从事嵌入式系统与模式识别,在清华大学出版社出版的教材《MATLAB应用技术》发行近3万册,出版其他教材3部,发表科研论文10余篇。主持或参加省级以上科研项目5项,申请专利5项。

目录
目录

第1章绪论

1.1EDA技术的发展概况

1.2设计方法和设计流程

1.2.1设计方法

1.2.2设计流程

1.3主要的EDA开发软件及厂家

1.3.1主要的EDA厂家

1.3.2主要的EDA开发软件

思考与练习

第2章可编程逻辑器件

2.1可编程器件概述

2.1.1ASIC及其分类

2.1.2PLD器件的分类

2.2简单PLD的基本结构

2.3CPLD的基本结构及典型器件简介

2.3.1CPLD的基本结构

2.3.2典型CPLD器件——MAX7000系列

2.3.3典型CPLD器件——Max Ⅱ系列

2.3.4典型CPLD器件——XC9500系列

2.4FPGA的基本结构及典型器件简介

2.4.1FPGA的基本结构

2.4.2典型FPGA器件——Cyclone Ⅱ系列

2.4.3Altera公司FPGA简介

2.4.4典型FPGA器件——Spartan3系列

2.4.5Xilinx公司FPGA简介

2.5器件配置与编程

2.5.1JTAG边界扫描测试

2.5.2FPGA的编程与配置

2.6PLD发展趋势

思考与练习

第3章Quartus Ⅱ开发软件

3.1概述

3.1.1Quartus Ⅱ 9.1的安装

3.1.2Quartus Ⅱ 9.1的授权许可设置

3.2Quartus Ⅱ 9.1管理器

3.2.1工作界面

3.2.2菜单栏

3.3设计输入

3.3.1Quartus Ⅱ软件设计流程

3.3.2创建工程

3.3.3图形编辑输入

3.3.4文本编辑输入

3.4设计处理

3.4.1编译设置

3.4.2编译

3.4.3仿真分析

3.4.4引脚锁定、设计下载和硬件测试

3.5时序分析

3.5.1Classic Timing Analyzer时序约束

3.5.2TimeQuest Timing Analyzer时序分析

3.6层次设计

3.6.1创建底层设计文件

3.6.2创建图元

3.6.3创建顶层设计文件

3.7基于宏功能模块的设计

思考与练习

第4章ModelSim仿真软件

4.1概述

4.2ModelSim 6.5使用举例

4.2.1ModelSim仿真基本步骤

4.2.2ModelSim与Quartus Ⅱ联合进行功能仿真的基本步骤

4.2.3ModelSim对Altera器件进行后仿真的基本步骤

思考与练习

第5章Verilog HDL基本语法

5.1Verilog HDL概述

5.1.1Verilog HDL的产生和发展

5.1.2Verilog HDL的设计流程

5.1.3Verilog HDL与VHDL的比较

5.2Verilog HDL模块结构

5.3Verilog HDL语言要素及数据类型

5.3.1Verilog HDL语言要素

5.3.2常量

5.3.3变量和数据类型

5.3.4参数

5.3.5向量

5.3.6存储器

5.3.7运算符

5.4Verilog HDL基本语句

5.4.1综合性设计语句

5.4.2时间控制语句

5.4.3过程语句

5.4.4块语句

5.4.5赋值语句

5.4.6条件语句

5.4.7循环语句

5.4.8任务与函数

5.4.9编译预处理语句

思考与练习

第6章仿真与测试

6.1系统任务与系统函数

6.2用户自定义原语

6.3测试平台的建立

6.4仿真设计实例

思考与练习

第7章描述方式与层次设计

7.1Verilog HDL的描述方式

7.1.1结构描述方式

7.1.2行为描述方式

7.1.3数据流描述方式

7.1.4混合描述方式

7.2进程

7.3Verilog HDL层次设计

思考与练习

第8章组合逻辑电路设计

8.1编码器和译码器

8.1.1编码器

8.1.2译码器

8.2数据选择器

8.3加法器

8.3.1半加器

8.3.2全加器

8.3.3级联加法器

8.3.4超前进位加法器

8.4乘法器

8.4.1移位相加乘法器

8.4.2并行乘法器

8.5其他组合逻辑电路

8.5.1基本门电路

8.5.2三态门电路

思考与练习

第9章时序逻辑电路设计

9.1触发器

9.1.1RS触发器

9.1.2JK触发器

9.1.3D触发器

9.1.4T触发器

9.2锁存器和寄存器

9.2.1锁存器

9.2.2寄存器

9.3移位寄存器

9.3.1左移移位寄存器

9.3.2右移移位寄存器

9.4分频器

9.4.1偶数分频器

9.4.2奇数分频器

9.5计数器

9.5.1同步计数器

9.5.2异步计数器

9.5.3加减计数器

9.6其他时序逻辑电路

9.6.1同步器

9.6.2边沿检测电路

思考与练习

第10章有限状态机的设计

10.1有限状态机概述

10.1.1状态机的分类

10.1.2有限状态机的状态转换图

10.1.3有限状态机的设计流程

10.2有限状态机的设计要点

10.3有限状态机设计实例

10.3.1摩尔型状态机

10.3.2米里型状态机

10.3.3有限状态机的描述方式

思考与练习

第11章数字系统设计实例

11.1数字跑表的设计

11.2交通灯控制器的设计

11.3自动售货机的设计

11.4ADC0809采样控制模块的设计

11.5可控脉冲发生器的设计

11.5.1顺序脉冲发生器

11.5.2并行脉冲控制模块

思考与练习

第12章基于FPGA数字系统设计实例

12.1基于FPGA的多功能数字钟的设计

12.1.1系统设计要求

12.1.2系统设计方案

12.1.3各部分功能模块的设计

12.2基于FPGA的信号发生器的设计

12.2.1系统设计要求

12.2.2系统设计方案

12.2.3各部分功能模块的设计

12.3基于FPGA的密码锁的设计

12.3.1系统设计要求

12.3.2系统设计方案

12.3.3各部分功能模块的设计

12.4数字滤波器的FPGA设计

12.4.1FIR滤波器的结构

12.4.2抽头系数的编码

12.4.3FIR滤波器的设计

12.5直扩通信系统的FPGA设计

12.5.1二进制相位键控调制

12.5.2CPSK信号的产生

12.5.3DPSK信号的产生

12.5.4CPSK调制器的设计

12.5.5DPSK调制器的设计

12.5.6CPSK解调器的设计

12.5.7DPSK解调器的设计

思考与练习

附录AVerilog HDL(IEEE 1364—1995)关键字

附录BVerilog HDL(IEEE 1364—2001)关键字

附录CVerilog2001语法结构

附录DVerilog2002语法结构

参考文献

内容摘要
本书系统地介绍了硬件描述语言Verilog HDL以及数字系统设计的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog HDL基础知识以及设计实例、基于FPGA/CPLD数字系统设计实例。书中各章都配备了思考与练习题。
本书以应用为主,突出实践性,结构严谨,书中的实例新颖、典型。本书适合作为电子信息工程、通信工程、电子信息科学与技术、自动化、电气工程等电子与电气类相关专业本科教材和研究生参考书,同时也可供电路设计和系统开发工程技术人员学习参考。

主编推荐
王忠礼,北华大学副教授,从事嵌入式系统与模式识别,在清华大学出版社出版的教材《MATLAB应用技术》发行近3万册,出版其他教材3部,发表科研论文10余篇。主持或参加省级以上科研项目5项,申请专利5项。

精彩内容
第5章
CHAPTER 5

Verilog HDL基本语法

Verilog HDL的出现彻底改变了数字电路的设计方法,使得设计者可以像写C程序那样设计电路,从而把更多的精力集中到系统结构和算法实现上。Verilog HDL是一门优秀的硬件描述语言,直观易学,在工业界获得广泛应用。
同其他高级语言一样,Verilog HDL具有自身固有的语法说明与定义格式。本章首先简单介绍Verilog HDL的发展概况、设计流程以及与VHDL的不同之处,然后重点介绍Verilog HDL的语言要素、结构、常用的语句以及运用Verilog HDL进行仿真的方法。本章力图使读者能迅速从总体上把握Verilog HDL程序的基本结构和特点,达到快速入门的目。
5.1Verilog HDL概述
Verilog HDL是一种硬件描述语言,可以在多种抽象层次上对数字系统建模,可以描述设计的行为特性、数据流特性、结构组成以及包含响应监控和设计验证方面的延时和波形产生机制。此外,Verilog HDL提供了编程语言接口(Programming Language Interface,PLI),通过该接口用户可以在模拟、验证期间从外部访问设计,包括模拟的具体控制和运行。Verilog HDL不仅定义了语法,而且对每个语法结构都定义了清楚的模拟、仿真语义。因此,用这种语言编程的模型能够使用Verilog HDL仿真器进行验证。Verilog HDL从C语言中继承了多种操作符和结构,所以从形式上看Verilog HDL和C语言有很多相似之处。
5.1.1Verilog HDL的产生和发展
很久以来,人们使用诸如FORTRAN、Pascal、C等语言进行计算机程序设计,这些程序本质上是顺序执行的。同样,在硬件设计领域,设计人员也希望使用一种标准的语言进行硬件设计。在这种情况下,许多硬件描述语言应运而生。设计人员可以使用它们对硬件中的并发执行过程建模。在出现的各种硬件描述语言中,Verilog HDL和VHDL使用得广泛。
Verilog HDL是GDA(Gareway Design Automation)公司的Phil Moorby于1983年首创的,只是为其公司的模拟器产品开发的硬件描述语言,之后Moorby又设计了VerilogXL仿真器。由于VerilogXL仿真器得到广泛使用及Verilog HDL具有简洁、高效、易用和功能强大等优点,因此Verilog HDL逐渐为众多设计者所接受和喜爱。1989年,Cadence公司收购了GDA公司,1990年,Cadence公开发表了Verilog HDL,并成立OVI(Open Verilog International)组织专门负责Verilog HDL的发展。Verilog HDL于1995年成为IEEE标准,称为IEEE Standard 1364—1995(Verilog1995)。2001年3月,IEEE正是批准了Verilog2001标准(IEEE 1364—2001)。
Verilog HDL是在C语言的基础上发展而来的。从语法结构上看,Verilog HDL继承和借鉴了C语言的很多语法结构,两者有许多相似之处。表51中列举了两种语言中一些相同或相近的语句。

表51Verilog HDL与C语言的比较

C语言
Verilog HDL

function
module,function,task
ifthenelse
ifelse
case
case,casez,casex
{,}
beginend
for
for
while
while
define
define
int
int
printf
monitor,display,strobe
当然,Verilog HDL作为一种硬件描述语言,要受到具体硬件电路的诸多限制,它与C语言的区别如下: 
(1) 在Verilog HDL中不能使用C语言中比较抽象的表示语法,如迭代表示法、指针(C语言特点的语法)、次数不确定的循环及动态声明等。
(2) C语言的理念是一行一行执行下去,是顺序的语法; 而Verilog HDL描述的是硬件,可以在同一时间内有很多硬件电路一起并行动作。这两者之间有冲突,糟糕的是Verilog仿真器也是顺序执行的软件,在处理时序关系时会有思考上的死角。
(3) C语言的输入输出函数丰富,而Verilog HDL能用的输入输出函数很少,在程序修改过程中会遇到输入输出的困难。
(4) C语言无时间延时语句。
(5) C语言中函数的调用是的,每一次调用都是相同的,可以无限制调用。而Verilog HDL对模块的每一次调用都必须赋予一个不同的别名,虽然调用的是同一模块,但不同的别名代表不同的模块,即生成了新的硬件电路模块。因此Verilog HDL中模块的调用次数受硬件电路资源的限制,不能无限制调用。这一点与C语言有较大区别。
(6) 与C语言相比,Verilog HDL描述语法缺乏灵活性,限制很多,能用的判断语句有限。
(7) 与C语言相比,Verilog HDL仿真速度慢,查错工具功能差,错误信息不完整。
(8) Verilog HDL提供程序界面的仿真工具软件,通常都价格昂贵,而且可靠性不明确。
(9) Verilog HDL中的延时语句只能用于仿真,不能被综合工具所综合。
Verilog HDL是一种硬件描述语言,可以用它来建立电路模型,这种模型可以是实际电路的不同级别的抽象描述,这些抽象的级别和它们对应的模型共有以下5种: 
(1) 系统级(System Level): 用高级语言结构设计模块的外部性能的模型。
(2) 算法级(Algorithm Level): 用高级语言结构设计算法的模型。
(3) 寄存器传输级(Register Transfer Level,RTL): 大多数硬件设计人员工作在RTL级,RTL模型是描述数据在寄存器之间如何流动和如何处理这些数据的模型。
以上3种都属于行为描述,只有RTL级才与逻辑电路有明确的对应关系。
(4) 门级(Gate Level): 描述逻辑门以及逻辑门之间连接的模型。
门级与逻辑电路有明确的连接关系。以上4种描述是设计人员必须掌握的。

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP