• 数字逻辑设计与VHDL描述(第2版)
  • 数字逻辑设计与VHDL描述(第2版)
  • 数字逻辑设计与VHDL描述(第2版)
21年品牌 40万+商家 超1.5亿件商品

数字逻辑设计与VHDL描述(第2版)

15 九五品

仅1件

北京大兴
认证卖家担保交易快速发货售后保障

作者徐惠民、安德宁 著

出版社机械工业出版社

出版时间2010-02

版次2

装帧平装

货号0337

上书时间2022-07-17

清泉文化

六年老店
已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:九五品
图书标准信息
  • 作者 徐惠民、安德宁 著
  • 出版社 机械工业出版社
  • 出版时间 2010-02
  • 版次 2
  • ISBN 9787111099550
  • 定价 31.00元
  • 装帧 平装
  • 开本 16开
  • 纸张 胶版纸
  • 页数 343页
  • 正文语种 简体中文
  • 丛书 高等院校通信与信息专业规划教材
【内容简介】
《数字逻辑设计与VHDL描述(第2版)》是为适应21世纪需要而编写的“数字逻辑设计与VHDL描述”教材。《数字逻辑设计与VHDL描述》在保留“数字电路与逻辑设计”的系统性和完整性基础上,详细介绍了用VHDL硬件描述语言对数字电路和系统进行描述和设计的方法。全书包括数字逻辑设计基础,以CMOS为主的数字集成电路,组合电路的分析、设计和描述,时序电路的分析、设计和描述,可编程逻辑器件,数字系统的描述和设计。《数字逻辑设计与VHDL描述》最后提供了数字逻辑电路实验和课程设计题目。全书强调基本概念和基本方法,每章都有相当数量的习题。
《数字逻辑设计与VHDL描述(第2版)》可作为高等院校通信与信息专业的教材,也可供相关技术人员培训使用。
【目录】
第1章数制与编码
1.1进位计数制
1.1.1基数和权
1.1.22n进制数之间的转换
1.1.3十进制数和2n进制数之间的转换
1.2二-十进制编码
1.2.1几种二-十进制编码
1.2.2二-十进制代码的加法
1.3格雷(Gray)码
1.4差错检测码
1.4.1奇偶校验码
1.4.2五中取二码和六中取二码
1.5习题

第2章逻辑代数基础
2.1基本概念
2.1.1逻辑变量和逻辑函数
2.1.2基本逻辑运算
2.1.3导出逻辑运算
2.1.4逻辑函数的表示方法
2.2逻辑代数的定理和规则
2.2.1逻辑代数的基本定律
2.2.2常用公式
2.2.3展开定理
2.2.4逻辑代数的三个规则
2.3逻辑函数的标准表达式
2.3.1标准"与或"式
2.3.2标准"或与"式
2.3.3不完全确定的逻辑函数
2.4逻辑函数的化简方法
2.4.1逻辑函数式的化简目标
2.4.2代数化简法
2.4.3卡诺图法化简逻辑函数
2.5习题

第3章集成逻辑门电路
3.1概述
3.1.1电压电平
3.1.2正逻辑和负逻辑
3.2MOS晶体管
3.2.1MOS晶体管的分类
3.2.2MOS管的三个工作区
3.2.3MOS管的开关时间
3.3CMOS反相器
3.3.1CMOS反相器的结构及工作原理
3.3.2CMOS反相器的电压传输特性
3.3.3CMOS反相器的功耗
3.3.4CMOS反相器的开关时间
3.4CMOS其他逻辑门电路
3.4.1CMOS与非门
3.4.2CMOS或非门
3.4.3门的输入端数的扩展
3.4.4缓冲门、与门及或门
3.4.5CMOS与或非门和异或门
3.4.6CMOS传输门
3.5CMOS集成电路的输出结构
3.5.1推挽输出
3.5.2三态输出
3.5.3漏极开路输出
3.5.4施密特触发器
3.6CMOS逻辑系列
3.6.1HC和HCT系列
3.6.2VHC和VHCT
3.6.3FAC和FACT
3.6.4CMOS电路使用中应注意的问题
3.7双极型集成逻辑电路
3.7.1晶体三极管非门
3.7.2肖特基晶体三极管
3.8TTL逻辑门电路
3.8.1TTL与非门电路
3.8.2LSTTL或非门
3.8.3TTL系列
3.8.4TTL电路使用中注意的问题
3.9ECL逻辑电路
3.9.1基本ECL电路
3.9.2ECL系列
3.9.3ECL电路使用中应注意的问题
3.10逻辑门的混合逻辑符号
3.10.1缓冲门的混合逻辑符号
3.10.2与门和与非门的混合逻辑符号
3.10.3或门及或非门的混合逻辑符号
3.11习题

第4章组合逻辑电路
4.1组合逻辑电路的分析
4.1.1组合逻辑电路的分析步骤
4.1.2分析举例
4.2中规模组合逻辑电路
4.2.1编码器
4.2.2译码器
4.2.3数据选择器
4.2.4加法器
4.2.5数值比较器
4.2.6奇偶校验器
4.3组合逻辑电路的综合
4.3.1组合逻辑电路的综合方法
4.3.2组合逻辑电路设计举例
4.4组合逻辑电路中的竞争与冒险
4.4.1冒险的分类
4.4.2冒险的检查及消除
4.5习题

第5章VHDL描述组合逻辑电路
5.1硬件描述语言VHDL
5.1.1设计过程
5.1.2VHDL语言的基本特点
5.2VHDL描述的基本结构
5.2.1实体描述
5.2.2结构体描述
5.3数据类型、运算符和表达式
5.3.1枚举类型
5.3.2数组类型
5.3.3子类型
5.3.4VHDL运算符
5.3.5常量的定义
5.3.6VHDL表达式
5.4VHDL的库和包
5.4.1VHDL库的种类和使用
5.4.2程序包
5.4.3库和程序包的引用
5.4.4函数和过程
5.5并行处理语句
5.5.1并行赋值语句
5.5.2条件赋值语句
5.5,3选择信号赋值语句
5.6顺序描述语句
5.6.1PROCESS语句
5.6.2信号和变量赋值语句
5.6.3分支语句
5.6.4循环语句
5.7结构描述语句
5.7.1部件声明语句
5.7.2部件描述语句
5.7.3重复部件的描述
5.8VHDL描述组合逻辑电路
5.8.1译码电路的描述
5.8.2三态门的描述
5.8.3编码器的描述
5.9习题

第6章集成触发器
6.1触发器的基本特性及其记忆作用
6.2电位型触发器
6.2.1基本RS触发器
6.2.2带使能端的RS触发器
6.2.3D触发器
6.2.4锁存器
6.3时钟控制的集成触发器
6.3.1主从触发器
6.3.2T触发器
6.3.3边沿触发器
6.4触发器的逻辑符号
6.5CMOS触发器
6.5.1带使能端D触发器
6.5.2CMOS主从D触发器
6.5.3CMOSJK触发器
6.6集成触发器的时间参数
6.6.1建立时间和保持时间
6.6.2时钟信号的时间参数
6.7触发器的VHDL描述
6.7.1电位型触发器的VHDL描述
6.7.2钟控型触发器的描述
6.8习题

第7章时序逻辑电路的分析、设计和描述
7.1时序电路基础
7.1.1同步时序电路的分类和描述
7.1.2常用时序电路
7.2常用同步时序电路的分析
7.2.1同步时序电路分析的步骤
7.2.2同步计数器的分析
7.2.3移位寄存器及其应用电路的分析
7.3常用时序电路的设计
7.3.1基本的设计步骤
7.3.2同步计数器的设计
7.3.3序列信号发生器
7.3.4M序列发生器
7.4异步计数器
7.4.1异步计数器的基本形式
7.4.2异步计数器的分析
7.5中规模时序集成电路
7.5.1中规模集成计数器
7.5.2中规模计数器的应用
7.5.3中规模移位寄存器
7.5.4中规模移位寄存器的应用
7.5.5时序部件的VHDL描述
7.6一般时序电路的分析和设计
7.6.1一般时序电路的分析
7.6.2一般时序电路的设计
7.6.3时序机的VHDL描述
7.7习题

第8章可编程逻辑器件
8.1概述
8.1.1专用集成电路的分类
8.1.2PLD的基本结构
8.1.3PLD电路的表示方法
8.1.4PLD的分类
8.1.5PLD的性能特点
8.2只读存储器ROM
8.2.1ROM的逻辑结构
8.2.2ROM的分类
8.2.3ROM的应用
8.3可编程阵列逻辑(PAL)
8.3.1PAL的基本结构
8.3.2PAL16L8的逻辑结构图及应用
8.4通用阵列逻辑(GAL)
8.4.1GAL的性能特点
8.4.2GAL的结构
8.4.3输出逻辑宏单元OLMC
8.5可擦除可编程的逻辑器件(EPLD)
8.5.1MAX7000系列器件的结构
8.5.2宏单元(MACROCELL)
8.5.3扩展乘积项
8.5.4PIA和I/O控制块
8.5.5EPM7128S应用举例
8.6复杂的可编程逻辑器件(CPLD)
8.6.1FLEX10K器件的结构
8.6.2嵌入阵列块(EAB)
8.6.3逻辑阵列块(LAB)
8.6.4逻辑单元(LE)
8.6.5快速通道互连
8.6.6输入输出单元(IOE)
8.7现场可编程门阵列(FPGA)的特点
8.7.1FPGA的基本结构
8.7.2FPGA的特点
8.8习题

第9章数字系统设计
9.1层次化结构设计
9.1.1设计的层次
9.1.2系统结构的分解
9.2自顶向下设计方法
9.2.1自顶向下设计方法的基本设计过程
9.2.2数字系统的基本划分
9.3复数运算器的设计
9.3.1设计要求
9.3.2确定系统接口
9.3.3系统划分
9.3.4系统描述
9.4习题

第10章数字逻辑电路实验
10.1数字逻辑电路实验的基本知识
10.1.1数字集成电路器件简介
10.1.2数字逻辑电路的测试
10.1.3数字电路常见故障的查找与排除
10.1.4实验报告的撰写
10.2数字电路的基本实验
10.2.1实验一常用数字仪表的使用
10.2,2实验二逻辑门的电压传输特性及参数测量
10.2.3实验三组合逻辑电路的应用(一)
10.2.4实验四组合逻辑电路的应用(二)
10.2.5实验五触发器及移位寄存器的应用
10.2.6实验六MSI计数器的应用
10.3MAX+plusII软件开发系统及其安装
10.3.1MAX+plusII的安装
10.3.2启动MAX+plusII
10.4MAX+plusII设计平台的使用方法
10.4.1设计输入
10.4.2设计编译
10.4.3设计验证
10.4.4器件编程
10.5可编程逻辑器件实验
10.5.1EPM7128S的管脚图及主要电气参数
10.5.2用VHDL设计PLD的实验
10.6数字系统设计课题
10.6.1课题一交通灯控制器
10.6.2课题二三层电梯控制器
10.6.3课题三乒乓游戏机
10.6.4课题四数字频率计
10.6.5课题五数字锁
10.6.6课题六数字日历
10.6.7课题七数字钟
10.6.8课题八智能函数发生器
10.6.9课题九智能竞赛抢答器
10.6.10课题十数据采集与监测
系统
参考文献
点击展开 点击收起

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP