• 数字电路与系统(第3版)9787121280559
  • 数字电路与系统(第3版)9787121280559
  • 数字电路与系统(第3版)9787121280559
  • 数字电路与系统(第3版)9787121280559
21年品牌 40万+商家 超1.5亿件商品

数字电路与系统(第3版)9787121280559

8.5 1.9折 45 八五品

库存3件

河南周口
认证卖家担保交易快速发货售后保障

作者戚金清、王兢 编

出版社电子工业出版社

出版时间2016-01

版次3

装帧平装

货号9787121280559

上书时间2024-03-28

松悦图书

七年老店
已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:八五品
图书标准信息
  • 作者 戚金清、王兢 编
  • 出版社 电子工业出版社
  • 出版时间 2016-01
  • 版次 3
  • ISBN 9787121280559
  • 定价 45.00元
  • 装帧 平装
  • 开本 16开
  • 纸张 胶版纸
  • 页数 316页
  • 字数 505千字
  • 正文语种 简体中文
  • 丛书 电子信息与电气学科规划教材.电子电气基础课程
【内容简介】
  数字电子技术是信息、通信、计算机、控制等领域工程技术人员必须掌握的基本理论和技能,本书主要讲解了数字逻辑基础,逻辑门电路,逻辑代数基础,组合逻辑电路,触发器,时序逻辑电路,脉冲波形的产生与变换,数字系统设计基础,数模与模数转换,半导体存储器及可编程逻辑器件,硬件描述语言Verilog HDL等内容。第3版修订了各章相关内容,并增加或修订了部分例题及习题。
【作者简介】
  戚金清,大连理工大学电信学院教师,从事电子电路设计、数字电路与数字系统等课程的教学以及科研工作,多次获得教学、科研方面的奖项。
【目录】

第1章数字逻辑基础1
1.1模拟信号1
1.2数字信号2
1.3模拟电路与数字电路2
1.4数制4
1.5数制间的转换6
1.5.1任意进制转换成十进制6
1.5.2十进制转换成任意进制6
1.5.3二进制与八进制间的转换7
1.5.4二进制与十六进制间的转换8
1.6代码8
1.6.1二-十进制代码8
1.6.2格雷码9
1.6.3字符代码9
1.7二进制代码的表示法10
1.8带符号二进制数的表示法10
1.8.1二进制正数表示法10
1.8.2二进制负数表示法10
1.8.3带符号二进制数的运算11
1.9偏移码12
习题12
第2章逻辑门电路14
2.1概述14
2.2逻辑门电路介绍14
2.2.1基本逻辑门电路14
2.2.2复合逻辑门电路15
2.3TTL集成门电路17
2.3.1TTL集成电路概述17
2.3.2TTL与非门18
2.3.3TTL与非门的电气特性20
2.3.4其他类型TTL门电路22
2.3.5TTL电路的改进系列27
2.4MOS门电路28
2.4.1NMOS门电路28
2.4.2CMOS电路30
2.4.3CMOS电路特点32
2.4.4集成电路使用注意事项32
*2.5TTL与CMOS电路的连接33
*2.6TTL、CMOS常用芯片介绍34
习题35
第3章逻辑代数基础41
3.1逻辑代数运算法则41
3.1.1基本逻辑运算41
3.1.2逻辑代数的基本定律42
3.1.3逻辑代数的基本规则42
3.1.4逻辑代数常用公式43
3.2逻辑函数的标准形式43
3.2.1最小项和标准与或式43
3.2.2最大项和标准或与式45
3.2.3最大项与最小项的关系46
3.3逻辑函数的公式化简法47
3.4逻辑函数的卡诺图化简法48
3.4.1卡诺图48
3.4.2用卡诺图表示逻辑函数49
3.4.3用卡诺图化简逻辑函数49
3.4.4具有随意项的逻辑函数化简51
3.4.5引入变量卡诺图52
习题53
第4章组合逻辑电路59
4.1组合逻辑电路分析59
4.2组合逻辑电路设计60
4.3编码器63
4.3.1普通编码器63
4.3.2优先编码器64
4.4译码器67
4.4.1二进制译码器67
4.4.2码制变换译码器70
4.4.3显示译码器71
4.5数据选择器75
4.5.1数据选择器75
4.5.2数据选择器实现逻辑函数76
4.6数值比较器78
4.6.1一位数值比较器79
4.6.2四位数值比较器748579
4.6.3数值比较器的位数扩展80
4.7加法电路80
4.7.1半加器81
4.7.2全加器81
4.7.3超前进位加法器7428382
*4.8组合逻辑电路的竞争冒险84
4.8.1竞争冒险的分类与判别84
4.8.2竞争冒险消除方法85
习题86
第5章触发器92
5.1电平触发的触发器92
5.1.1由与非门构成的基本RS触发器92
5.1.2时钟触发器96
5.2脉冲触发的触发器100
5.2.1主从RS触发器100
5.2.2主从JK触发器101
5.3边沿触发的触发器105
5.3.1TTL边沿触发器105
5.3.2CMOS边沿触发器107
5.4触发器的分类和区别110
*5.5触发器之间的转换112
5.6触发器的典型应用112
习题114
第6章时序逻辑电路119
6.1时序逻辑电路的基本概念119
6.1.1时序逻辑电路的结构及特点119
6.1.2时序逻辑电路的分类120
6.1.3时序逻辑电路的表示方法120
6.2同步时序逻辑电路的一般分析方法121
6.3同步时序逻辑电路的设计124
6.4计数器131
6.4.14位二进制同步集成计数器74161131
6.4.28421BCD码同步加法计数器74160133
6.4.3同步二进制加法计数器74163134
6.4.4二-五-十进制异步加法计数器74290134
6.4.5集成计数器的应用135
6.5寄存器140
6.5.1寄存器74175140
6.5.2移位寄存器141
6.5.3集成移位寄存器74194143
6.5.4移位寄存器构成的移位型计数器144
*6.6序列信号发生器147
6.6.1计数型序列信号发生器147
6.6.2移位型序列信号发生器147
习题150
第7章脉冲波形的产生与变换155
7.1555定时器155
7.2施密特触发器156
7.2.1555定时器构成的施密特触发器156
7.2.2门电路构成的施密特触发器157
7.2.3集成施密特触发器159
7.2.4施密特触发器的应用159
7.3单稳态触发器161
7.3.1TTL与非门组成的微分型单稳态触发器161
7.3.2555定时器构成的单稳态触发器163
7.3.3集成单稳态触发器164
7.3.4单稳态触发器的应用166
7.4多谐振荡器169
7.4.1555定时器构成的多谐振荡器169
7.4.2TTL与非门构成的多谐振荡器171
7.4.3石英晶体振荡器173
7.4.4施密特触发器构成的多谐振荡器173
7.4.5多谐振荡器的应用175
习题176
第8章数字系统设计基础180
8.1数字系统概述180
8.1.1数字系统结构180
8.1.2数字系统的定时180
8.1.3数字系统设计的一般过程181
8.2算法状态机――ASM图表181
8.2.1ASM图表符号181
8.2.2ASM图表的含义183
8.2.3ASM图表的建立184
8.3数字系统设计185
习题193
第9章数模与模数转换196
9.1数模转换电路196
9.1.1数模转换关系196
9.1.2权电阻网络DAC197
9.1.3R-2R梯形电阻网络DAC198
9.1.4R-2R倒梯形电阻网络DAC199
9.1.5电流激励DAC200
9.1.6集成数模转换电路200
9.1.7数模转换的主要技术指标205
9.2模数转换电路207
9.2.1ADC的工作过程207
9.2.2并行比较ADC209
9.2.3并/串型ADC211
9.2.4逐次逼近型ADC212
9.2.5双积分ADC214
9.2.6集成模数转换电路216
9.2.7模数转换的主要技术指标218
习题219
第10章半导体存储器及可编程逻辑器件223
10.1半导体存储器概述223
10.1.1半导体存储器的分类223
10.1.2存储器的技术指标224
10.2随机存储器RAM224
10.2.1RAM的基本结构225
10.2.2RAM芯片简介228
10.2.3RAM的容量扩展229
10.3只读存储器ROM231
10.3.1ROM的分类231
10.3.2ROM的结构与基本原理232
10.3.3ROM应用233
10.4可编程逻辑器件PLD236
10.4.1可编程逻辑器件概述236
10.4.2可编程逻辑器件的基本结构和电路表示方法237
10.4.3复杂可编程逻辑器件CPLD239
10.4.4现场可编程门阵列FPGA243
10.4.5CPLD/FPGA设计方法与编程技术247
习题250
第11章硬件描述语言VerilogHDL256
11.1VerilogHDL的基本知识256
11.1.1什么是VerilogHDL256
11.1.2VerilogHDL的发展历史256
11.1.3VerilogHDL程序的基本结构257
11.2VerilogHDL的基本元素259
11.2.1注释符259
11.2.2标识符260
11.2.3关键字260
11.2.4间隔符260
11.2.5操作符260
11.2.6数据类型264
11.3VerilogHDL的基本语句269
11.3.1过程结构语句269
11.3.2语句块271
11.3.3时序控制272
11.3.4赋值语句273
11.3.5分支语句274
11.3.6循环语句275
11.4VerilogHDL程序设计实例277
11.4.1基本逻辑门电路设计277
11.4.2组合逻辑电路设计280
11.4.3时序逻辑电路设计286
11.4.4数字系统设计实例291
11.5VerilogHDL的模拟仿真294
11.5.1QuartusII开发软件294
11.5.2仿真实例296
习题303
参考文献304

点击展开 点击收起

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP