• Vivado从此开始(第2版)
21年品牌 40万+商家 超1.5亿件商品

Vivado从此开始(第2版)

电子、电工 本书涵盖4大主题:设计流程、时序约束、设计分析、Tcl脚本的使用,包括173个Tcl脚本,39个HDL代码,41个电子教学课件,406张图片,17个表格 新华书店全新正版书籍

40.46 6.2折 65 全新

库存31件

江苏无锡
认证卖家担保交易快速发货售后保障

作者高亚军

出版社电子工业出版社

出版时间2024-04

版次2

装帧平装

货号1203232471

上书时间2024-08-24

新华文轩网络书店

十四年老店
已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
新华文轩网络书店 全新正版书籍
商品描述
本书涵盖4大主题:设计流程、时序约束、设计分析、Tcl脚本的使用,包括173个Tcl脚本,39个HDL代码,41个电子教学课件,406张图片,17个表格
图书标准信息
  • 作者 高亚军
  • 出版社 电子工业出版社
  • 出版时间 2024-04
  • 版次 2
  • ISBN 9787121472305
  • 定价 65.00元
  • 装帧 平装
  • 开本 16开
  • 页数 256页
  • 字数 423千字
【内容简介】


本书涵盖了vivado的四大主题:设计流程、时序约束、设计分析和tcl脚本的使用,结合实例深入浅出地阐述了vivado的使用方法,精心结了vivado在实际工程应用中的一些和注意事项,既包含图形界面作方式,也包含相应的tcl命令。
本书语言流畅,图文并茂。全书共包含405张图片、17个表格、172个tcl脚本和39个hdl代码,同时,本书配有41个电子课件,为读者提供了直观而生动的资料。
本书可供电子工程领域内的本科高年级和学参,也可供fpga工程师和自学者参使用。

【作者简介】


高亚军,linx 战略应用工程师。多年来使用linx fpga 实现数字处理算法,精通linx fpga 器件架构,对开发工具vivado/viti hl/ytem generator 的实战经验深厚。
【目录】


章 fpga技术分析1

1.1 fpga结构分析1

1.1.1 linx 7系列fpga结构分析1

1.1.2 linx ultrascale系列fpga结构分析18

1.2 fpga设计流程分析22

1.3 vivado概述25

1.3.1 vivado下的fpga设计流程25

1.3.2 vivado的两种工作模式26

1.3.3 vivado的5个特征30

参文献31

第2章 设计综合32

2.1 常用综合选项的设置32

2.1.1 -flatten_hierarchy对综合结果的影响32

2.1.2 -fsm_extraction对机编码方式的影响35

2.1.3 -keep_equivalent_registers的含义36

2.1.4 -resource_sharing对算术运算的影响38

2.1.5 -control_set_opt_threshold对触发器控制集的影响38

2.1.6 -no_lc对查找表资源的影响40

2.1.7 -shreg_min_size对移位寄存器的影响41

2.2 合理使用综合属43

2.2.1 async_reg在异步跨时钟域场合的应用43

2.2.2 max_fanout对高扇出信号的影响44

2.2.3 ram_style和rom_style对存储能的影响46

2.2.4 use_dsp48在实现加法运算时的作用48

2.3 out-of-context(ooc)综合模式50

2.3.1 project模式下使用ooc50

2.3.2 non-project模式下使用ooc54

2.4 综合后的设计分析54

2.4.1 时钟网络分析54

2.4.2 跨时钟域路径分析56

2.4.3 时序分析60

2.4.4 资源利用率分析72

2.4.5 扇出分析73

2.4.6 触发器控制集分析75

参文献75

第3章 设计实现76

3.1 理解实现策略76

3.1.1 project模式下应用实现策略76

3.1.2 non-project模式下应用实现策略80

3.2 理解物理优化81

3.3 增量实现82

3.3.1 project模式下应用增量实现82

3.3.2 non-project模式下应用增量实现87

3.4 实现后的设计分析88

3.4.1 资源利用率分析88

3.4.2 时序分析88

3.5 生成配置文件90

3.6 下载配置文件93

参文献99

第4章 设计验证100

4.1 行为级100

4.1.1 基于vivado simulator的行为级100

4.1.2 基于modelsim/questasim的行为级111

4.2 实现后的时序115

4.3 使用vla(vivado logic analyzer)117

4.3.1 使用ila(integrated logic analyzer)117

4.3.2 使用vio(virtual input/output)126

4.3.3 vla中的数据分析128

4.4 使用add_probe133

参文献134

第5章 ip的管理135

5.1 定制ip135

5.1.1 在vivado工程中定制ip135

5.1.2 在manage ip中定制ip139

5.2 ip的两种生成文件形式:xci和xcix144

5.3 对ip的几个重要作148

5.3.1 ip的综合148

5.3.2 ip的150

5.3.3 ip的更新151

5.3.4 ip输出文件的编辑155

5.4 ip的属与156

5.5 ip的约束159

5.6 封装ip164

5.6.1 通过vivado工程封装用户代码164

5.6.2 通过指定封装用户代码177

参文献178

第6章 约束的管理179

6.1 基本时序理论179

6.2 两类基本约束180

6.2.1 时钟周期约束180

6.2.2 引脚分配201

6.3 两种时序例外210

6.3.1 多周期路径约束210

6.3.2 伪路径约束214

6.4 从ucf到xdc217

6.4.1 ucf与xdc的基本对应关系217

6.4.2 理解层次标识符在ucf和xdc中的区别219

6.5 时序约束编辑辅助工具220

6.5.1 时序约束编辑器220

6.5.2 时序约束向导223

6.6 关于约束文件224

参文献226

第7章 tcl在vivado中的应用227

7.1 vivado对tcl的支持227

7.2 vivado中tcl命令的对象及属232

7.2.1 文件对象及属232

7.2.2 网表对象及属234

7.3 tcl命令与网表视图的交互使用241

7.4 典型应用242

7.4.1 流程管理242

7.4.2 定制报告246

7.4.3 网表编辑249

7.5 其他应用253

参文献256

点击展开 点击收起

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

新华文轩网络书店 全新正版书籍
此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP