• CMOS射频集成电路设计
21年品牌 40万+商家 超1.5亿件商品

CMOS射频集成电路设计

全新正版 极速发货

20.32 4.4折 46 全新

库存26件

广东广州
认证卖家担保交易快速发货售后保障

作者段吉海 编

出版社西安电子科技大学出版社

ISBN9787560653976

出版时间2019-08

装帧平装

开本16开

定价46元

货号1201951626

上书时间2024-06-28

徐小智的书店

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
商品描述
目录
第1章 绪论

1.1 CMOS技术简介及发展趋势

1.1.1 CMOS集成电路制程简介

1.1.2 CMOS工艺特征尺寸的演变——摩尔定律

1.1.3 发展趋势

1.2 射频集成电路的发展历史、现状及发展趋势

1.2.1 发展历史

1.2.2 现状

1.2.3 发展趋势

1.3 射频集成电路设计涉及的相关学科与知识

1.4 CMOS模拟及射频集成电路设计的方法与步骤

1.5 CMOS射频集成电路设计的常用软件概述

1.5.1 Cadence Virtuoso

1.5.2 Agilent ADS

1.6 本章小结

习题

参考文献

第2章 CMOS射频IC器件模型

2.1 概述

2.2 无源元件及模型

2.2.1 电阻器件模型

2.2.2 电容器件模型

2.2.3 电感器件模型

2.3 有源元件及模型

2.3.1 二极管模型

2.3.2 大信号和小信号双极型晶体管模型

2.3.3 MOS器件的直流模型

2.3.4 MOS器件的电容模型

2.3.5 MOS器件的非准静态模型

2.3.6 大信号场效应晶体管模型

2.3.7 小信号场效应晶体管模型

2.3.8 有源器件的噪声模型

2.4 片上电感设计与建模仿真实例

2.4.1 片上电感的电学与几何参数

2.4.2 芯片叠层结构

2.4.3 片上电感设计方法

2.4.4 ADS片上建模与仿真

2.4.5 Sonnet片上建模与仿真

2.5 本章小结

习题

参考文献

第3章 无线通信的射频系统

3.1 概述

3.2 无线通信系统

3.2.1 无线通信系统的构成

3.2.2 无线通信系统的常用性能指标

3.2.3 天线系统及性能指标

3.3 传统无线收发信系统

3.3.1 无线接收机基本结构

3.3.2 超外差接收机结构

3.3.3 超外差发信机结构

3.3.4 其他经典接收机结构

3.4 可集成无线收发信系统

3.4.1 零中频接收机

3.4.2 二次变频宽中频接收机结构

3.4.3 二次变频低中频接收机结构

3.5 典型应用

3.5.1 WLAN应用

3.5.2 WBAN应用

3.5.3 GSM和CDMA移动通信应用

3.5.45 G移动通信应用

3.5.5 卫星导航应用

3.6 建模实例

3.6.1 无线通信信道的数学模型

……

第4章 射频系统的端口参量与匹配

第5章 CMOS低噪声射频放大器

第6章 CMOS射频放大器

第7章 CMOS射频混频器

第8章 CMOS射频振荡器

第9章 CMOS射频功率放大器

第10章 CMOS射频锁相环与频率合成器

第11章 版图匹配设计、ESD防护设计、接地设计及电磁兼容

第12章 射频集成电路的测试

内容摘要
本书以无线射频收发前端为应用目标,首先介绍射频集成电路设计必需的基本知识,包括传输线基本理论、二端口网络与S参数和Smith圆图的基本知识; 目前常用的集成电路的工艺技术; 阻抗匹配、集成电路元件、噪声与模型、无线系统射频前端、低噪声射频放大器、射频放大器、射频混频器、射频振荡器、射频功率放大器和射频频率合成器。除上述主要内容之外,还介绍了版图匹配设计、ESD防护设计、接地设计、电磁兼容以及射频集成电路的测试等内容,同时相应地给出了设计实例(或建模实例,或测试实例)等,使得全书内容更加全面,更具有创新性。本书内容新颖,循序渐进,概念清晰,理论性和应用性强,不仅可作为集成电路方向的研究生教材和本科高年级学生教材,还可作为业界工程技术人员的技术资料和培训教材。

精彩内容
自无线电通信技术产生以来,射频电路与系统就是其不可或缺的部分。随着时代的变迁以及电子信息技术的进步,射频集成电路(RFIC)变得更加重要,而且其自身的发展也日新月异。高性能、低成本的CMOS工艺技术的发展,使得采用CMOS工艺设计及制造RFIC成为典型技术。本人已经从事无线通信系统研究、设计及教学30多年,从事微电子科学与工程及集成电路研究、设计及教学近20年,有着攻读集成电路方向博士学位、赴美做高级访问学者及在国企从事技术工作多年的经历。本人深切地感觉到有必要编写一本CMOS射频集成电路设计的实用科技书,经过几年的准备,终于付诸实施。本书除涵盖国家集成电路工程领域工程硕士系列教材在射频集成电路与系统方面的主要内容要求外,还增加了版图匹配设计、ESD防护设计、接地设计、电磁兼容以及射频集成电路的测试等内容,同时相应地增加了设计实例(或建模实例,或测试实例)等内容,使得内容更加全面,更具有创新性。全书共12章,各章内容概述如下:第1章:绪论。对CMOS技术的现状及发展趋势进行概述,涉及CMOS集成电路制程、摩尔定律等;介绍射频集成电路的发展历史、现状及发展趋势;介绍射频集成电路设计涉及的相关学科与知识、CMOS模拟及射频集成电路设计的方法与步骤、CMOS射频集成电路设计的常用软件(Cadence Virtuoso集成电路设计平台、Agilent ADS 射频电路分析与设计软件)。第2章:CMOS射频IC器件模型。介绍无源元件及模型(包括电阻器件模型、电容器件模型和电感器件模型)、有源元件及模型(包括二极管模型、大信号和小信号双极型晶体管模型、MOS器件的直流模型、MOS器件的电容模型、MOS器件的非准静态模型、大信号和小信号的场效应晶体管模型、有源器件的噪声模型)等,并给出建模实例(片上电感设计与建模仿真实例)。第3章:无线通信的射频系统。介绍无线射频收发前端系统,包括无线通信系统(无线通信系统的构成、无线通信系统的常用性能指标、天线系统及性能指标)、传统无线收发信系统、可集成无线收发信系统、典型应用,并给出建模实例(无线通信信道的数学模型、超宽带(UWB)通信系统建模实例)。第4章:射频系统的端口参量与匹配。介绍射频系统的端口参量与匹配,包括二端口网络及S参数、Smith圆图、阻抗匹配、匹配网络设计,并给出设计实例(L形匹配网络设计实例、π形匹配网络设计实例、T形匹配网络设计实例、Smith圆图法匹配网络设计实例)。第5章:CMOS低噪声射频放大器。内容涉及低噪声放大器网络的噪声分析(包括二端口网络的噪声分析、MOS晶体管噪声模型及MOS晶体管最小噪声系数的计算)、CMOS低噪声放大器的基本电路结构和技术指标(包括CMOS低噪声放大器的几种电路结构、CMOS低噪声放大器的技术指标),并给出设计实例(TH-UWB低噪声放大器设计实例)。第6章:CMOS射频放大器。内容涉及射频放大器的稳定性(包括绝对稳定、稳定性判定的依据和方法、条件稳定)、CMOS射频放大器设计(包括基于优选增益的CMOS放大器设计、固定增益条件下的CMOS射频放大器设计)、CMOS宽带放大器设计(包括宽带放大器的带宽约束、宽带放大器设计及放大器带宽扩展技术)、射频放大器的非线性(包括非线性数学模型、非线性参量),并给出设计实例(TH-UWB射频接收机的主放大器设计实例)。第7章:CMOS射频混频器。内容涉及混频原理(包括线性时变原理,上、下变频,镜像频率及复数混频)、混频器指标、CMOS混频器结构(包括饱和区MOSFET混频器、简单开关混频器、MOS管电压开关型混频器及电流开关型混频器)、线性化技术与噪声分析(包括MOSFET的非线性、线性化技术、混频器的噪声分析),并给出设计实例(下变频混频器设计实例)。第8章:CMOS 射频振荡器。内容涉及振荡器的主要指标(包括普通振荡器指标、压控振荡器指标)、振荡器的工作原理(包括正反馈与巴克豪森条件、负阻的概念及负阻式振荡器)、环形振荡器、LC振荡器(包括三点式LC振荡器、差分LC振荡器)、压控振荡器(包括可变电容器件、压控振荡器的结构和相位域模型)、振荡器的干扰和相位噪声(包括振荡器的干扰、振荡器的相位噪声、相位噪声产生的机理)、相位噪声带来的问题与设计优化(包括对邻近信道造成的干扰、倒易混频、对星座图的影响、设计优化),并给出设计实例(4~6 GHz宽频带CMOS LC压控振荡器设计实例)。第9章:CMOS射频功率放大器。内容涉及技术指标、负载牵引设计方法、非开关型射频功放分类、开关型射频功放分类、CMOS工艺的射频功放面临的问题、CMOS射频功放的设计方法(包括采用差分结构、采用Cascode技术、应用键合线电感、采用输出级阻抗优化技术以及采用功率合成技术)、线性化技术等。第10章:CMOS射频锁相环与频率合成器。内容涉及锁相环原理(包括锁相环的组成、锁相环的相位模型)、锁相环的主要专业术语、电荷泵锁相环(包括鉴频鉴相器与电荷泵、电荷泵锁相环的动态特性、Type Ⅰ和Type Ⅱ型锁相环、Type Ⅱ型锁相环的非理想因素)、频率合成器(包括频率合成器的技术指标及原理、变模分频频率合成器、多环频率合成器、小数分频频率合成器、直接数字频率合成器),并给出设计实例(S波段频率合成器设计实例)。第11章:版图匹配设计、ESD防护设计、接地设计及电磁兼容。涉及版图匹配设计(包括造成失配的原因、设计的规则及方法、版图布局设计的关键问题)、ESD防护设计(包括ESD测试模型、ESD防护基本原理、ESD防护元件、ESD防护电路、ESD版图设计)、接地设计(包括常见的接地问题、直流地与交流地、“零阻抗”电容、正确的接地设计)及电磁兼容(包括天线效应、数/模混合集成电路电磁兼容)等。第12章:射频集成电路的测试。涉及洁净间的防静电管理、常用测试设备简介(包括在芯片测试探针台、其他测试仪器、键合与封装设备)、测试步骤与方法(包括射频放大器的S参数测量、低噪声放大器的噪声系数测量、其他参量测量模型、测试遇到的问题、去嵌入处理、测试结果的后处理与分析方法),并给出测试实例(射频频段均衡器芯片测试实例)。值得说明的是,本书给出设计或建模实例的目的在于更深层次讲解具体模块或系统,对于本科或研究生教学来说可能存在课时不够等情况,因此这个部分只作为研究参考资料,而不作为教学重点,但这些内容对业界工程技术人员来说确实为有益的参考资料。本书的大部分实例来源于本人或指导研究生时的部分研究成果,与此同时,本书还从参考文献中以及其他有关著作中汲取了许多有益的内容。在桂林电子科技大学研究生课程建设项目的大力资助以及西安电子科技大学出版社的帮助下,本书得以顺利出版,在此表示衷心的感谢!鉴于水平有限,书中不当之处在所难免,殷切希望广大读者批评指正。

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP