• 数字IC设计入门
21年品牌 40万+商家 超1.5亿件商品

数字IC设计入门

65.42 6.0折 109 九五品

仅1件

浙江杭州
认证卖家担保交易快速发货售后保障

作者白栎旸

出版社清华大学出版社

ISBN9787302635031

出版时间2023-09

版次1

装帧平装

开本16开

纸张胶版纸

定价109元

上书时间2024-07-09

靖鮟大君

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:九五品
商品描述
基本信息
书名:数字IC设计入门
定价:109.00元
作者:白栎旸
出版社:清华大学出版社
出版日期:2023-09-01
ISBN:9787302635031
字数:
页码:
版次:
装帧:平装
开本:16开
商品重量:
编辑推荐
本书内容丰富翔实、语言通俗易懂,方法深入简出,既是一本芯片知识的科普书,也是一本介绍芯片设计和语法知识的教科书,是作者从业十余年来的知识和工作经验的结晶。本书系统地介绍了IC设计及仿真验证过程。初学者可完整、详细地学习IC设计及验证流程,不仅有数字IC的设计介绍,还有模拟IC的设计介绍,内容涵盖全面。同时书中对IC设计常用工具及操作方法进行了详尽描述,方便刚刚投入IC设计行业的有志人士快速入门。
内容提要
本书旨在向广大有志于投身芯片设计行业的人士及正在从事芯片设计的工程师普及芯片设计知识和工作方法,使其更加了解芯片行业的分工与动向。 本书共分9个章节,从多角度透视芯片设计,特别是数字芯片设计的流程、工具、设计方法、仿真方法等环节。凭借作者多年业内经验,针对IC新人关心的诸多问题,为其提供了提升个人能力,选择职业方向的具体指导。本书章是对IC设计行业的整体概述,并解答了IC新人普遍关心的若干问题。第2章和第3章分别对数字IC的设计方法和仿真验证方法进行了详细阐述,力图介绍实用、规范的设计和仿真方法,避免了Verilog语法书中简单的语法堆砌及填鸭式的灌输。第4章是在前两章的基础上,通过实例进一步阐述设计方法中的精髓。第5章详细介绍了作为当今数字芯片主流的SoC芯片架构和设计方法,并对比了非SoC架构的设计,无论对SoC芯片还是非SoC芯片设计都极具参考价值。第6章介绍了3种常用的通信接口协议,同时也可以作为IC设计方法的总结和练习。第7章介绍了数字IC设计必须具备的电路综合知识和时序约束知识。第8章对数字IC设计中常用工具及其操作方法进行了介绍,能够帮助新人快速上手。第9章总结归纳了一些学习数字IC设计的方法及如何进行职业发展方向的规划等热点问题。书中的一些重点内容和实操环境,都配有视频予以详细讲解,能够帮助读者更深入地掌握书中内容。 本书可作为数字芯片设计的科普书,供希望进入该行业的人士或希望了解芯片界动向的人力资源行业人士及芯片创业者阅读。也可作为技术参考书,供学习和从事设计的学生和工程师阅读。
目录
目录本书源代码章IC设计行业概述1.1IC设计公司的分类1.2数字IC设计流程1.3模拟IC设计流程1.4芯片整体规划1.5IC设计工具1.6IC设计公司的分工和职位1.7选择设计还是验证1.8模拟IC设计与数字IC设计的区别1.9数字IC设计与FPGA开发的区别1.10芯片设计的未来发展趋势1.11关于本书描述方法的约定第2章基于Verilog的数字IC设计方法(162min)2.1数字器件与Verilog语法的关系2.2可综合的Verilog设计语法2.3对寄存器的深度解读2.4阻塞与非阻塞赋值的区别2.5组合逻辑的表达方式2.6组合逻辑中的选择器2.7Verilog中的for循环2.8逻辑运算符号优先级2.9组合逻辑与时序逻辑混合表达2.10Verilog中数值的表示方法2.11信号的状态类型2.12电平信号与脉冲信号2.13对信号打拍就是保留历史的记忆2.14驱动和负载2.15Verilog中模块和信号的声明方式及模块例化方法2.16Verilog的注释和换行方法2.17带参数的Verilog2.18Verilog中的宏定义2.19function的使用2.20状态机设计2.21电路的时序2.22流水线设计方法2.23跨时钟域异步处理方法2.24时钟和复位信号的起源2.25异步复位同步释放原则2.26无毛刺的时钟切换电路2.27组合环2.28RTL的前向设计法和后向设计法2.29自顶向下的设计和自底向上的设计2.30原理图和时序图2.31在时序逻辑和组合逻辑之间选择2.32signed声明的妙用2.33数字逻辑中浮点数值的定点化方法2.34运算的溢出与保护2.35在RTL中插入DFT的方法2.36需要进行元器件例化的几种情况2.37对于大的扇入和扇出的处理2.38低功耗设计方法2.39用IP“攒”一颗芯片2.40设计规范和习惯2.41数字电路的布局布线流程简介第3章仿真方法(112min)3.1设计者仿真与验证工作的区别3.2仿真平台的一般架构3.3Verilog和System Verilog3.4Testbench文件的基本结构3.5时钟和复位的产生3.6灵活的等待方式3.7信号类型的扩展和强制转换3.8log的打印3.9内建功能函数3.10仿真器也会出错3.11前仿中的真相与假象3.12从DUT中直接获取信号3.13数据预读取3.14将仿真数据以文本形式输出3.15并行处理的方法3.16建立模型的方法3.17task的使用3.18双向驱动线的处理3.19灵活的数组寻址3.20通过脚本控制TB行为3.21下载波形的语句3.22VCS工具的仿真设置3.23ModelSim工具的仿真设置3.24Incisive工具的仿真设置3.25随机数3.26后仿设置3.27仿真案例的管理方法3.28覆盖率统计3.29学会Debug思维3.30验证方法学简介3.31断言简介3.32仿真和实验第4章基础模块设计举例(83min)4.1计数器的设计4.2同步FIFO的设计4.3异步FIFO的设计第5章SoC芯片设计(164min)5.1SoC架构5.2关于CPU的一些概念5.3简单SoC结构及存储器类型5.4SoC芯片中常用的外围设备5.5SoC内部程序的运行过程5.6程序的分散加载5.7SoC芯片程序的烧写方式5.8SoC芯片的参数校准5.9SoC芯片的上电异常保护5.10ARM CortexM0介绍5.11中断机制5.12SCS配置5.13ARM CortexM0的集成5.14通过软件验证设计5.15产品级芯片集成5.16AHB总线协议5.17AHB设备的设计5.18APB总线协议5.19APB设备的设计5.20SoC芯片时钟与复位信号的设计5.21SoC芯片的休眠策略设计5.22SysTick的集成和使用5.23非SoC架构的芯片第6章简单接口协议及设计(72min)6.1SPI6.2I2C6.3UART第7章综合环境的搭建和时序约束(141min)7.1TCL基本语法7.2综合环境的搭建7.3时序分析基础7.4时序约束7.5综合时序分析与后端时序分析的异同第8章设计工具介绍(15min)8.1Gvim8.2Spyglass8.3Formality8.4Perl第9章数字IC工程师的成长与提高9.1学习方法9.2选择合适的方向9.3数字工程师与模拟工程师的协作9.4数字工程师与软件工程师的协作9.5写在最后
作者介绍
白栎旸,厦门大学通信系硕士,芯片设计与算法工程师,WiFi芯片算法负责人。先后供职于多家国内知名芯片公司和创业团队,从事数字电路架构和算法设计工作,具有丰富的数字设计经验和算法经验,以及长期与模拟设计团队联合设计数模混合电路的经验,擅长射频电路相关数字校准算法设计及SoC芯片的架构设计,主持研发的芯片累积产量已达上亿颗。作为发明人已获授权的国家发明专利共4项。移知课程“从算法到RTL实现”主讲人。
序言

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP