• 高等学校教材:数字逻辑与数字系统
21年品牌 40万+商家 超1.5亿件商品

高等学校教材:数字逻辑与数字系统

21 8.4折 25 九五品

仅1件

浙江杭州
认证卖家担保交易快速发货售后保障

作者马义忠,常蓬彬,马浚 编

出版社高等教育出版社

ISBN9787040160062

出版时间2005-01

版次1

装帧平装

开本16开

纸张胶版纸

页数292页

字数99999千字

定价25元

上书时间2024-03-24

靖鮟大君

已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:九五品
商品描述
基本信息
书名:高等学校教材:数字逻辑与数字系统
定价:25.00元
作者:马义忠,常蓬彬,马浚 编
出版社:高等教育出版社
出版日期:2005-01-01
ISBN:9787040160062
字数:410000
页码:292
版次:1
装帧:平装
开本:16开
商品重量:
编辑推荐

内容提要
《数字逻辑与数字系统》根据数字逻辑器件的发展历程,系统地阐述数字逻辑系统的基本理论、分析方法和设计原理。突出基本原理及应用,使数字逻辑系统的设计从传统的单纯硬件设计方法变为计算机软硬件协同设计。全书共13章,由逻辑代数、组合逻辑电路、时序逻辑电路、集成逻辑构件、可编程逻辑器件、数字系统设计方法及VHDL语言描述数字系统等7部分组成,每章均附有适量习题。    《数字逻辑与数字系统》是根据计算机学科教学计划及相关信息类专业教学大纲编写的,紧紧围绕理论、抽象、设计三个过程统一的教学体系。可作为高校计算机科学、电子信息、通信类专业的教材,也可作为成人教育的教材和相关专业科技人员的参考书。
目录
章 数制与编码1.1 进位计数制 1.1.1 十进制数的表示 1.1.2 二进制数的表示 1.1.3 其他进制数的表示 1.2 数制转换 1.2.1 二进制数与十进制数的转换 1.2.2 二进制数与八进制数、十六进制数的转换 1.3 带符号数的代码表示 1.3.1 真值与机器数 1.3.2 原码 1.3.3 反码 1.3.4 补码 1.3.5 机器数的加、减运算 1.3.6 十进制数的补数 1.4 码制和字符的代码表示 1.4.1 码制 1.4.2 可靠性编码 1.4.3 字符代码 习题一第二章 逻辑代数与逻辑函数 2.1  逻辑代数中的三种基本运算 2.1.1 “与”逻辑运算及描述 2.1.2 “或”逻辑运算及描述 2.1.3 “非”逻辑运算及描述 2.1.4 其他复合逻辑运算及描述 2.1.5 逻辑函数 2.2 逻辑代数的基本公式、定理及重要规则 2.2.1 逻辑代数的基本公式 2.2.2 逻辑代数的基本定理 2.2.3 逻辑代数的重要规则 2.3 逻函数表达式的形式与转换方法 2.3.1 逻辑函数的表示方法 2.3.2 逻辑函数表达式的基本形式 2.3.3 逻辑函数的两种标准形式2.4 逻辑函数的代数化简法 2.4.1 逻辑函数的简形式 2.4.2 常用的代数化简方法 2.5 逻辑函数的卡诺图化简法 2.5.1 逻辑函数的卡诺图表示法 2.5.2 用卡诺图化简逻辑函数 2.6 具有无关项的逻辑函数及其化简 2.6.1 约束项、任意项和逻辑函数式中的无关项 2.6.2 无关项在化简逻辑函数中的应用 习题二 第三章 集成逻辑部件 3.1 TTL与非门电路 3.1.1 电路结构 3.2.2 功能分析 3.1.3 特性及主要参数 3.2 其他类型的TTL与非门电路 3.2.1 集电极开路门——OC门 3.2.2 三态门 3.3 MOS集成逻辑门电路 3.3.1 NMOS反相器及逻辑门 3.3.2 CMOS反相器及逻辑门 习题三第四章 组合逻辑电路 4.1 逻辑函数的实现 4.1.1 用“与非”门实现逻辑函数 4.1.2 用“或非”门实现逻辑函数 4.1.3 用“与或非”门实现逻辑函数 4.1.4 用“异或”门实现逻辑函数 4.2 组合逻辑电路的分析 4.3 组合逻辑电路的设计 4.3.1 组合逻辑电路设计工作的过程 4.3.2 单输出组合逻辑电路的设计 4.3.3 多输出组合逻辑电路的设计 4.4 组合逻辑电路的竞争与冒险 4.4.1 竞争与冒险的产生 4.4.2 判别冒险 4.4.3 消除冒险 习题四第五章 中大规模集成组合逻辑构件 5.1 编码器 5.1.1 普通编码器的工作原理及应用 5.1.2 优先编码 5.2 译码器 5.2.1 译码器的概念 5.2.2 变量译码器 5.2.3 显示译码器 5.3 数据选择器 5.3.1 74LS153的逻辑电路、符号及功能 5.3.2 数据选择器的应用 5.4 数值比较器 5.4.1 两个一位数值比较器的工作原理 5.4.2 多位数值比较器 5.5 检错编码及码组校验——奇偶检验器 习题五第六章 集成触发器 6.1 触发器的特点及分类 6.1.1 触发器的基本特点 6.1.2 触发器的分类 6.1.3 时钟触发器的分类 6.2 基本RS触发器 6.2.1 电路结构与工作原理 6.2.2 工作特性 6.3 时钟RS触发器的结构、功能及其描述方法 6.3.1 时钟RS触发器电路结构与工作特性 6.3.2 时钟RS触发器的功能及其描述方法 6.4 时钟D触发器的结构、功能及其描述方法 6.4.1 电路结构与工作原理 6.4.2 逻辑功能及其描述方法 6.5 时钟JK触发器的结构、功能及其描述方法 6.5.1 电路结构与工作原理 6.5.2 逻辑功能及其描述方法 6.6 时钟T触发器的结构、功能及其描述方法 6.7 各种触发器的比较 6.7.1 各类触发器的逻辑符号比较 6.7.2 各种功能触发器描述表达式的比较 6.7.3 触发器的触发方式与结构分类总表 习题六第七章 同步时序逻辑电路 7.1 同步时序逻辑电路的模型与描述方法 7.1.1 同步时序逻辑电路的结构模型 7.1.2 同步时序逻辑电路的描述方法 7.2 同步时序逻辑电路的分析方法 7.2.1 时序逻辑电路的分析步骤 7.2.2 同步时序电路分析举例 7.3 同步时序逻辑电路的设计方法 7.3.1 设计同步时序电路的一般步骤 7.3.2 建立原始状态转换图和状态转换表 7.3.3 原始状态化简 7.3.4 状态编码 7.4 同步时序逻辑电路设计举例 习题七第八章 异步时序逻辑电路 8.1 脉冲异步时序逻辑电路的分析与设计方法 8.1.1 脉冲异步时序逻辑电路的分析 8.1.2 脉冲异步时序逻辑电路的设计 8.2电平异步时序逻辑电路的分析与设计方法8.2.1 电平异步时序逻辑电路分析的方法 8.2.2 电平异步时序逻辑电路的设计方法 8.3 电平异步时序逻辑电路的竞争分析 习题八第九章 中规模集成时序逻辑设计9.1 计数器 9.1.1 计数器的分类 9.1.2 集成计数器 9.1.3 任意进制计数器的构成方法 9.2 寄存器 9.2.1 基本的寄存器 9.2.2 集成移位寄存器 9.2.3 移位型计数器 9.3 计数器的应用 9.3.1 脉冲信号分配器 9.3.2 序列信号发生器 习题九第十章 可编程逻辑器件 10.1 概述 10.2 只读存储器(ROM) 10.2.1 只读存储器的分类 10.2.2 ROM结构与工作原理 10.2.3 ROM应用举例 10.3 随机读写存储器(RAM) 10.3.1 RAM结构 10.3.2 RAM的存储元 10.3.3 地址译码方法 10.4 可编程逻辑阵列(PLA) 10.4.1 FPLA的结构特点 10.4.2 FPLA的应用 10.5 通用阵列逻辑(GAL) 10.5.1 GAL器件的基本逻辑结构 10.5.2 输出逻辑宏单元的结构 10.5.3 输出逻辑宏单元的工作模式 习题十第十一章 数字系统设计概述 11.1 数字系统概述 11.1.1 数字系统的基本模型与结构 11.1.2 数字系统设计的方法 11.2 用算法流程图描述数字系统 11.2.1 算法流程图的符号与规则 11.2.2 实例 11.3 数字系统设计的基本过程 习题十一第十二章 数字系统的基本算法与逻辑电路实现 12.1 算法设计概述 12.1.1 算法设计中主要考虑的因素 12.1.2 硬件结构对算法设计的影响 12.2 几种常用的算法设计 12.2.1 跟踪法 12.2.2 归纳法 12.2.3 划分法 12.2.4 解析法 12.2.5 综合法 12.3 算法结构问题 12.3.1 顺序(或串行)算法结构 12.3.2 并行算法结构 12.3.3 流水线操作算法结构 12.4 数据处理单元电路的设计 12.4.1 器件选择应考虑的因素 12.4.2 设计数据处理单元的基本方法与步骤 12.4.3 数据处理单元设计实例 12.5 控制器的基本结构与同步问题 12.5.1 控制单元的基本结构 12.5.2 系统的同步问题 12.6 算法状态机图(ASM) 12.7 控制器的逻辑电路设计 12.7.1 传统时序电路设计方法应用于控制器的设计中 12.7.2 计数器应用于控制器的设计 习题十二第十三章 VHDL语言描述数字系统 13.1 VHDL语言的基本结构 13.1.1 实体描述 13.1.2 结构体描述 13.2 基本对象、数据类型以及运算符 13.2.1 基本对象 13.2.2 数据类型 13.2.3 常数的表示方法 13.2.4 运算符 13.3 顺序语句 13.3.1 变量与信号赋值语句 13.3.2 IF语句 13.3.3 CASE语句 13.3.4 LOOP语句 13.4 并行语句 13.4.1 并行信号赋值语句 13.4.2 进程语句 13.4.3 断言语句 13.4.4 生成语句 13.4.5 块语句 13.5 子程序及其引用 13.5.1 函数语句的定义与引用 13.5.2 过程语句的定义与引用 13.6 包集合与库 13.6.1 包集合 13.6.2 库 13.7 元器件配置 13.7.1 体内配置 13.7.2 体外配置 13.7.3 直接例化 13.7.4 顶层配置 13.8 VHDL基本逻辑电路设计实例 13.8.1 组合逻辑电路的设计 13.8.2 时序逻辑电路描述 13.8.3 状态机的VHDL描述 习题十三 参考文献
作者介绍

序言

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP