• 数字IC设计入门(微课视频版)
21年品牌 40万+商家 超1.5亿件商品

数字IC设计入门(微课视频版)

正版保障 假一赔十 可开发票

69.98 6.4折 109 全新

库存75件

广东广州
认证卖家担保交易快速发货售后保障

作者白栎旸编著

出版社清华大学出版社

ISBN9787302635031

出版时间2023-09

装帧平装

开本16开

定价109元

货号14037580

上书时间2024-06-24

灵感书店

三年老店
已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
商品描述
作者简介

白栎旸,厦门大学通信系硕士,芯片设计与算法工程师,WiFi芯片算法负责人。先后供职于多家国内知名芯片公司和创业团队,从事数字电路架构和算法设计工作,具有丰富的数字设计经验和算法设计经验,以及长期与模拟设计团队联合设计数模混合电路的经验,擅长射频电路相关数字校准算法设计及SoC芯片的架构设计,主持研发的芯片累计产量已达上亿颗。作为第一发明人已获授权国家发明专利4项。移知课程“从算法到RTL实现”主讲人。



目录

第1章 IC设计行业概述

1.1 IC设计公司的分类

1.2 数字IC设计流程

1.3 模拟IC设计流程

1.4 芯片整体规划

1.5 IC设计工具

1.6 IC设计公司的分工和职位

1.7 选择设计还是验证

1.8 模拟IC设计与数字IC设计的区别

1.9 数字IC设计与FPGA开发的区别

1.10 芯片设计的未来发展趋势

1.11 关于本书描述方法的约定

第2章 基于Verilog的数字IC设计方法

2.1 数字器件与Verilog语法的关系

2.2 可综合的Verilog设计语法

2.3 对寄存器的深度解读

2.4 阻塞与非阻塞赋值的区别

2.5 组合逻辑的表达方式

2.6 组合逻辑中的选择器

2.7 Verilog中的for循环

2.8 逻辑运算符号优先级

2.9 组合逻辑与时序逻辑混合表达

2.10 Verilog中数值的表示方法

2.11 信号的状态类型

2.12 电平信号与脉冲信号

2.13 对信号打拍就是保留历史的记忆

2.14 驱动和负载

2.15 Verilog中模块和信号的声明方式及模块例化方法

2.16 Verilog的注释和换行方法

2.17 带参数的Verilog

2.18 Verilog中的宏定义

2.19 function的使用

2.20 状态机设计

2.21 电路的时序

2.22 流水线设计方法

2.23 跨时钟域异步处理方法

2.24 时钟和复位信号的起源

2.25 异步复位同步释放原则

2.26 无毛刺的时钟切换电路

2.27 组合环

2.28 RTL的前向设计法和后向设计法

2.29 自顶向下的设计和自底向上的设计

2.30 原理图和时序图

2.31 在时序逻辑和组合逻辑之间选择

2.32 signed声明的妙用

2.33 数字逻辑中浮点数值的定点化方法

2.34 运算的溢出与保护

2.35 在RTL中插入DFT的方法

2.36 需要进行元器件例化的几种情况

2.37 对于大的扇入和扇出的处理

2.38 低功耗设计方法

2.39 用IP“攒”一颗芯片

2.40 设计规范和习惯

2.41 数字电路的布局布线流程简介

第3章 仿真方法

3.1 设计者仿真与验证工作的区别

3.2 仿真平台的一般架构

3.3 Verilog和System Verilog

3.4 Testbench文件的基本结构

3.5 时钟和复位的产生

3.6 灵活的等待方式

3.7 信号类型的扩展和强制转换

3.8 log的打印

3.9 内建功能函数

3.10 仿真器也会出错

3.11 前仿中的真相与假象

3.12 从DUT中直接获取信号

3.13 数据预读取

3.14 将仿真数据以文本形式输出

3.15 并行处理的方法

3.16 建立模型的方法

3.17 task的使用

3.18 双向驱动线的处理

3.19 灵活的数组寻址

3.20 通过脚本控制TB行为

3.21 下载波形的语句

3.22 VCS工具的仿真设置

3.23 ModelSim工具的仿真设置

3.24 Incisive工具的仿真设置

3.25 随机数

3.26 后仿设置

3.27 仿真案例的管理方法

3.28 覆盖率统计

3.29 学会Debug思维

3.30 验证方法学简介

3.31 断言简介

3.32 仿真和实验

第4章 基础模块设计举例

4.1 计数器的设计

4.2 同步FIFO的设计

4.3 异步FIFO的设计

第5章 SoC芯片设计

5.1 SoC架构

5.2 关于CPU的一些概念

5.3 简单SoC结构及存储器类型

5.4 SoC芯片中常用的外围设备

5.5 SoC内部程序的运行过程

5.6 程序的分散加载

5.7 SoC芯片程序的烧写方式

5.8 SoC芯片的参数校准

5.9 SoC芯片的上电异常保护

5.10 ARM Cortex-M0介绍

5.11 中断机制

5.12 SCS配置

5.13 ARM Cortex-MO的集成

5.14 通过软件验证设计

5.15 产品级芯片集成

5.16 AHB总线协议

5.17 AHB设备的设计

5.18 APB总线协议

5.19 APB设备的设计

5.20 SoC芯片时钟与复位信号的设计

5.21 SoC芯片的休眠策略设计

5.22 SysTick的集成和使用

5.23 非SoC架构的芯片

第6章 简单接口协议及设计

6.1 SPI

6.2 I2C

6.3 UART

第7章 综合环境的搭建和时序约束

7.1 TCL基本语法

7.2 综合环境的搭建

7.3 时序分析基础

7.4 时序约束

7.5 综合时序分析与后端时序分析的异同

第8章 设计工具介绍

8.1 Gvim

8.2 Spyglass

8.3 Formality

8.4 Perl

第9章 数字IC工程师的成长与提高

9.1 学习方法

9.2 选择合适的方向

9.3 数字工程师与模拟工程师的协作

9.4 数字工程师与软件工程师的协作

9.5 写在最后



主编推荐
本书内容丰富翔实、语言通俗易懂,方法深入简出,既是一本芯片知识的科普书,也是一本介绍芯片设计和语法知识的教科书,是作者从业十余年来的知识和工作经验的结晶。本书系统地介绍了IC设计及仿真验证过程。初学者可完整、详细地学习IC设计及验证流程,不仅有数字IC的设计介绍,还有模拟IC的设计介绍,内容涵盖全面。同时书中对IC设计常用工具及操作方法进行了详尽描述,方便刚刚投入IC设计行业的有志人士快速入门。

精彩内容

本书旨在向广大有志于投身芯片设计行业的人士及正在从事芯片设计的工程师普及芯片设计知识

   相关推荐   

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP