• 数字电子技术基础 大中专理科电工电子 张宝荣 主编
  • 数字电子技术基础 大中专理科电工电子 张宝荣 主编
  • 数字电子技术基础 大中专理科电工电子 张宝荣 主编
  • 数字电子技术基础 大中专理科电工电子 张宝荣 主编
  • 数字电子技术基础 大中专理科电工电子 张宝荣 主编
21年品牌 40万+商家 超1.5亿件商品

数字电子技术基础 大中专理科电工电子 张宝荣 主编

新华书店全新正版书籍 支持7天无理由

30.3 6.7折 45 全新

库存2件

北京丰台
认证卖家担保交易快速发货售后保障

作者张宝荣 主编

出版社电子工业出版社

ISBN9787121254680

出版时间2015-02

版次2

装帧平装

开本16

页数358页

字数595千字

定价45元

货号xhwx_1201073009

上书时间2024-06-13

智胜图书专营店

六年老店
已实名 已认证 进店 收藏店铺

   商品详情   

品相描述:全新
正版特价新书
商品描述
目录:

章  数字逻辑基础1
1.1  数字信号与数字电路2
1.1.1  数字信号2
1.1.2  数字电路3
1.2  数制和码制4
1.2.1  几种常用的数制4
1.2.2  不同数制间的转换7
1.2.3  几种常用的码制8
1.3  逻辑代数10
1.3.1  逻辑代数中3种基本运算10
1.3.2  复合逻辑运算12
1.3.3  逻辑代数的基本公式14
1.3.4  逻辑代数的常用公式16
1.3.5  逻辑代数的基本定理17
1.4  逻辑函数及其表示方法18
1.4.1  逻辑函数的定义18
1.4.2  逻辑函数的表示方法18
1.4.3  各种表示方法间的相互转换19
1.5  逻辑函数的化简21
1.5.1  逻辑函数的简形式21
1.5.2  公式化简法21
1.5.3  卡诺图化简法23
1.6*  eda技术概述32
1.6.1  eda发展回顾33
1.6.2  eda系统构成34
1.6.3  eda工具发展趋势34
1.6.4  eda工具软件max+plus ⅱ简介36
本章小结37
题与思题38
第2章  逻辑门电路42
2.1  半导体二极管门电路43
2.1.1  二极管的开关特43
2.1.2  二极管门电路45
2.2  半导体三极管门电路46
2.2.1  三极管的开关特46
2.2.2  三极管反相器49
2.3  ttl集成门电路50
2.3.1  ttl反相器电路结构及50
2.3.2  ttl反相器的电压传输特和抗干扰能力53
2.3.3  ttl反相器的静态输入特、输出特和负载能力55
2.3.4  ttl反相器的动态特60
2.3.5  ttl门电路的其他类型62
2.3.6  ttl集成门系列简介71
2.4  cmos集成门电路73
2.4.1  mos管的开关特74
2.4.2  cmos反相器的电路结构和工作79
2.4.3  cmos反相器的特及参数80
2.4.4  cmos门电路的其他类型82
2.4.5  cmos集成门系列简介86
2.5*  集成门电路的实际应用问题88
2.5.1  集成门电路使用应注意的问题88
2.5.2  ttl电路与cmos电路之间的接问题90
本章小结92
题与思题92
第3章  组合逻辑电路98
3.1  概述99
3.2  组合逻辑电路的分析与设计100
3.2.1  组合逻辑电路的分析100
3.2.2  组合逻辑电路的设计102
3.3  常用组合逻辑电路105
3.3.1  106
3.3.2  译码器113
3.3.3  数据选择器123
3.3.4  加法器126
3.3.5  数值比较器130
3.4  用中规模集成电路设计组合逻辑电路135
3.4.1  用译码器设计组合逻辑电路135
3.4.2  用数据选择器设计组合逻辑电路138
3.4.3  用加法器设计组合逻辑电路140
3.4.4*  综合设计143
3.5  组合逻辑电路的竞争―冒险现象146
3.5.1  竞争―冒险的概念及其产生原因146
3.5.2  消除竞争―冒险的方法147
3.6*  用max+plus ⅱ设计组合逻辑电路150
本章小结153
题与思题153
第4章  触发器156
4.1  概述157
4.2  基本sr触发器(sr锁存器)157
4.2.1  由与非门构成的基本sr触发器157
4.2.2  由或非门构成的基本sr触发器160
4.3  同步触发器(电触发)162
4.3.1  同步sr触发器162
4.3.2  同步d触发器(d锁存器)165
4.4  主从触发器(脉冲触发)166
4.4.1  主从sr触发器166
4.4.2  主从jk触发器169
4.5  边沿触发器(边沿触发)171
4.5.1  维持阻塞结构的边沿触发器171
4.5.2  基于门电路传输延迟的边沿jk触发器174
4.5.3  边沿d触发器(利用两个同步d触发器构成)176
4.6  触发器的逻辑功能及描述方法178
4.7  集成触发器180
4.7.1  常用集成触发器180
4.7.2  触发器的功能转换182
4.8  触发器应用举例184
4.9*  用max+plus ii验证触发器逻辑功能185
本章小结186
题与思题186
第5章  时序逻辑电路191
5.1  时序电路的基本概念192
5.1.1  时序电路的分类192
5.1.2  时序电路的基本结构和描述方法192
5.2  同步时序电路的分析方法194
5.2.1  同步时序电路的分析任务194
5.2.2  同步时序电路的分析步骤194
5.3  寄存器199
5.3.1  寄存器和移位寄存器结构组成及工作199
5.3.2  集成(移位)寄存器及其应用201
5.4  器205
5.4.1  同步器结构组成及206
5.4.2  异步器结构组成及212
5.4.3  集成器及其应用214
5.5  同步时序电路的设计方法223
5.5.1  时序电路设计的基本任务223
5.5.2  时序电路的设计步骤223
5.6  用中规模集成电路设计时序电路230
5.6.1  用移位寄存器设计230
5.6.2  用器设计231
5.6.3*  综合设计233
5.7*  max+plus ii设计时序逻辑电路236
本章小结239
题与思题239
第6章  半导体存储器与可编程逻辑器件242
6.1  概述243
6.2  存储器ram245
6.2.1  ram存储单元245
6.2.2  ram的结构246
6.2.3  ram的扩展249
6.3  只读存储器rom251
6.3.1  固定rom251
6.3.2  可编程只读存储器prom252
6.3.3  现代常用rom256
6.4  可编程逻辑器件pld259
6.4.1  pld基本259
6.4.2  pld分类261
6.5  高密度可编程逻辑器件263
6.5.1  复杂可编程逻辑器件cpld263
6.5.2  现场可编程门阵列fpga265
6.5.3  基于芯片的设计方法267
6.6*  硬件描述语言简介268
6.6.1  vhdl简介269
6.6.2  vhdl描述逻辑电路举例271
本章小结278
题与思题278
第7章  脉冲波形的产生与整形280
7.1  概述281
7.1.1  矩形脉冲及其基本特281
7.1.2  矩形脉冲的产生和整形方法282
7.2  555定时器及其脉冲电路282
7.2.1  555定时器及其工作282
7.2.2  由555定时器构成的单稳态触发器285
7.2.3  由555定时器构成的施密特触发器289
7.2.4  由555定时器构成的多谐振荡器295
7.3  集成和其他单稳态触发器298
7.3.1  由门电路构成的单稳态触发器298
7.3.2  集成单稳态触发器299
7.4  集成和其他施密特触发器301
7.4.1  由门电路构成的施密特触发器301
7.4.2  集成施密特触发器302
7.5  其他多谐振荡器304
7.5.1  由门电路构成的多谐振荡器304
7.5.2  石英晶体多谐振荡器306
本章小结308
题与思题308
第8章  数模和模数转换311
8.1  概述312
8.2  数模转换器(dac)312
8.2.1  dac的基本312
8.2.2  倒t形电阻网络dac313
8.2.3  权电流型dac314
8.2.4  数模转换输出极的扩展317
8.2.5  dac的主要技术参数319
8.2.6  集成dac321
8.3  模数转换器(adc)323
8.3.1  adc的基本323
8.3.2  并联比较型adc325
8.3.3  逐次渐近型adc326
8.3.4  双积分型adc328
8.3.5  adc的主要技术参数331
8.3.6  集成adc332
8.4  取样-保持电路333
本 章 小 结335
题与思题335
附录a  常用的数字逻辑集成电路338
附录b  逻辑符号对照表343
附录c  eda软件元件库345
附录d  部分题与思题解答347
参文献358

内容简介:

本书为高等学校“十二五”电气自动化类规划教材之一,也是燕山大学的“数字电子技术基础”河北省精品课程配套教材,是根据来数字电子技术的新发展和课程组多年的实践积累,针对数字电子技术课程基本要求和学特点而编写的。全书包括数字逻辑基础、逻辑门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器与可编程逻辑器件、脉冲波形的产生与整形、数模和模数转换,共8章。虑到eda技术已成为数字电路设计的首要手段,本书加入了目前比较流行的eda设计软件maxpluⅱ的内容,并结合具体章节给出了软件的应用方法。本教材可满足学时较少情况下的,适宜48~60学时的。为了方便和自学,配备有实用的电子课件和题简解。

作者简介:

博士,燕山大学副教授,河北省首批精品课“数字电子技术基础”负责人,从事数字电子技术工作多年,经验丰富,注重传统与新技术的融合。

—  没有更多了  —

以下为对购买帮助不大的评价

正版特价新书
此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP