• EDA技术与应用 江国强 编著 电子工业出版社 9787121193156
21年品牌 40万+商家 超1.5亿件商品

EDA技术与应用 江国强 编著 电子工业出版社 9787121193156

4.2 1.1折 38 八五品

库存2件

上海黄浦
认证卖家担保交易快速发货售后保障

作者江国强 编著

出版社电子工业出版社

ISBN9787121193156

出版时间2013-01

版次1

装帧平装

开本16开

纸张胶版纸

页数318页

字数99999千字

定价38元

货号9787121193156

上书时间2024-04-06

   商品详情   

品相描述:八五品
商品描述
基本信息
书名:EDA技术与应用
定价:38.00元
作者:江国强 编著
出版社:电子工业出版社
出版日期:2013-01-01
ISBN:9787121193156
字数:525000
页码:318
版次:
装帧:平装
开本:16开
商品重量:
编辑推荐
《EDA技术与应用(第4版)》编著者江国强。 EDA是电子设计自动化(Electronic DesigAutomation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT‘)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言(HDI.)完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。
内容提要
本书分为7章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、VerilogHDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。另外,附录部分介绍了伟福EDA6000和友晶DE2等EDA实验开发系统的使用方法,供具有不同实验设备的读者学习或参考。EDA是当今先进的电子电路设计技术,它的重要作用逐步被我国的产业界、科技界和教育界认可。
目录
章 EDA技术概述1.1 EDA技术及发展1.2 EDA设计流程1.2.1 设计准备1.2.2 设计输入1.2.3 设计处理1.2.4 设计校验1.2.5 器件编程1.2.6 器件测试和设计验证1.3 硬件描述语言1.3.1 VHDL1.3.2 Verilog HDL1.3.3 AHDL1.4 可编程逻辑器件1.5 常用EDA工具1.5.1 设计输入编辑器1.5.2 仿真器1.5.3 HDL综合器1.5.4 适配器(布局布线器)1.5.5 下载器(编程器)本章小结思考题和习题第2章 EDA工具软件的使用方法2.1 Quartus II软件的主界面2.2 Quartus II的图形编辑输入法2.2.1 编辑输入图形设计文件2.2.2 编译设计文件2.2.3 仿真设计文件2.2.4 编程下载设计文件2.3 Quartus II宏功能模块的使用方法2.3.1 设计原理2.3.2 编辑输入顶层设计文件2.3.3 仿真顶层设计文件2.3.4 图形文件的转换2.4 嵌入式逻辑分析仪的使用方法2.4.1 打开SignalTap II编辑窗口2.4.2 调入节点信号2.4.3 参数设置2.4.4 文件存盘2.4.5 编译与下载2.4.6 运行分析2.5 嵌入式锁相环的设计方法2.5.1 嵌入式锁相环的设计2.5.2 嵌入式锁相环的仿真2.5.3 使用嵌入式逻辑分析仪观察嵌入式锁相环的设计结果2.6 设计优化2.6.1 面积与速度的优化2.6.2 时序约束与选项设置2.6.3 Fitter设置2.7 Quartus II的RTL阅读器本章小结思考题和习题第3章 VHDL3.1 VHDL设计实体的基本结构3.1.1 库、程序包3.1.2 实体3.1.3 结构体3.1.4 配置3.1.5 基本逻辑器件的VHDL描述3.2 VHDL语言要素3.2.1 VHDL文字规则3.2.2 VHDL数据对象3.2.3 VHDL数据类型3.2.4 VHDL的预定义数据类型3.2.5 IEEE预定义的标准逻辑位和矢量3.2.6 用户自定义数据类型方式3.2.7 VHDL操作符3.2.8 VHDL的属性3.3 VHDL的顺序语句3.3.1 赋值语句3.3.2 流程控制语句3.3.3 WAIT语句3.3.4 ASSERT(断言)语句3.3.5 NULL(空操作)语句3.4 并行语句3.4.1 PROCESS(进程)语句3.4.2 块语句3.4.3 并行信号赋值语句3.4.4 子程序和并行过程调用语句3.4.5 元件例化(COMPONENT)语句3.4.6 生成语句3.5 VHDL的库和程序包3.5.1 VHDL库3.5.2 VHDL程序包3.6 VHDL设计流程3.6.1 编辑VHDL源程序3.6.2 设计8位计数显示译码电路顶层文件3.6.3 编译顶层设计文件3.6.4 仿真顶层设计文件3.6.5 下载顶层设计文件3.7 VHDL仿真3.7.1 VHDL仿真支持语句3.7.2 VHDL测试平台软件的设计本章小结思考题和习题第4章 Verilog HDL4.1 Verilog HDL设计模块的基本结构4.1.1 模块端口定义4.1.2 模块内容4.2 Verilog HDL的词法4.2.1 空白符和注释4.2.2 常数4.2.3 字符串4.2.4 关键词4.2.5 标识符4.2.6 操作符4.2.7 Verilog HDL数据对象4.3 Verilog HDL的语句4.3.1 赋值语句4.3.2 条件语句4.3.3 循环语句4.3.4 结构声明语句4.3.5 语句的顺序执行与并行执行4.4 不同抽象级别的Verilog HDL模型4.4.1 Verilog HDL的门级描述4.4.2 Verilog HDL的行为级描述4.4.3 用结构描述实现电路系统设计4.5 Verilog HDL设计流程4.5.1 编辑Verilog HDL源程序4.5.2 设计BCD加法器电路顶层文件4.5.3 编译顶层设计文件4.5.4 仿真顶层设计文件4.5.5 下载顶层设计文件4.6 Verilog HDL仿真4.6.1 Verilog HDL仿真支持语句4.6.2 Verilog HDL测试平台软件的设计本章小结思考题和习题第5章 常用EDA工具软件5.1 ModelSim5.1.1 ModelSim的图形用户交互方式5.1.2 ModelSim的交互命令方式5.1.3 ModelSim的批处理工作方式5.1.4 ModelSim与Quartus II的接口5.2 Quartus II 9.0软件的使用方法5.2.1 Quartus II软件的安装5.2.2 Quartus II软件的主界面5.2.3 Quartus II的仿真方法5.2.4 Quartus II宏功能模块的使用方法5.2.5 在Quartus II 9.0中使用ModelSim仿真5.3 基于Matlab/DSP Builder的DSP模块设计5.3.1 设计原理5.3.2 建立Matlab设计模型5.3.3 Matlab模型仿真5.3.4 Signal Compiler使用方法5.3.5 使用ModelSim仿真5.3.6 硬件实现与测试5.3.7 DSP Builder的层次设计5.4 Nios II嵌入式系统开发软件5.4.1 Nios II的硬件开发5.4.2 调整SDRAM地址5.4.3 生成Nios II硬件系统5.4.4 Nios II系统的调试5.4.5 Nios II的常用组件与编程5.4.6 SOPC的应用5.5 Qsys系统集成软件5.5.1 Qsys的硬件开发5.5.2 Qsys系统的编译与下载5.5.3 Qsys系统的调试本章小结思考题和习题第6章 可编程逻辑器件6.1 PLD的基本原理6.1.1 PLD的分类6.1.2 阵列型PLD6.1.3 现场可编程门阵列FPGA6.1.4 基于查找表(LUT)的结构6.2 PLD的设计技术6.2.1 PLD的设计方法6.2.2 在系统可编程技术6.2.3 边界扫描技术6.3 PLD的编程与配置6.3.1 CPLD的ISP方式编程6.3.2 使用PC的并口配置FPGA6.4 Altera公司的PLD系列产品简介6.4.1 Altera高端Stratix FPGA系列6.4.2 Altera中端FPGA的Arria系列6.4.3 Altera低成本FPGA的Cyclone系列6.4.4 Altera低成本CPLD的MAX系列6.4.5 Altera硬件拷贝HardCopy ASIC系列本章小结思考题和习题第7章 EDA技术的应用7.1 组合逻辑电路设计应用7.1.1 运算电路设计7.1.2 编码器设计7.1.3 译码器设计7.1.4 数据选择器设计7.1.5 数据比较器设计7.1.6 ROM的设计7.2 时序逻辑电路设计应用7.2.1 触发器设计7.2.2 锁存器设计7.2.3 移位寄存器设计7.2.4 计数器设计7.2.5 随机读写存储器RAM的设计7.3 基于EDA的数字系统设计7.3.1 计时器的设计7.3.2 万年历的设计7.3.3 8位十进制频率计设计本章小结思考题和习题附录A EDA6000实验开发系统A.1 EDA
作者介绍

序言

—  没有更多了  —

以下为对购买帮助不大的评价

此功能需要访问孔网APP才能使用
暂时不用
打开孔网APP